OpenCores
URL https://opencores.org/ocsvn/fpga-cf/fpga-cf/trunk

Subversion Repositories fpga-cf

[/] [fpga-cf/] [trunk/] [hdl/] [port_icap/] [shiftr_bram/] [sim.do] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 peteralieb
quit -sim
2
vlog C:/Xilinx/11.1/ISE/verilog/src/glbl.v
3
vlog shiftr_bram.v
4
vlog shiftr_bram_tb.v
5
 
6
vsim -L unisims_ver -L unimacro_ver -voptargs=+acc shiftr_bram_tb glbl
7
 
8
add wave \
9
{sim:/shiftr_bram_tb/dut/en_in } \
10
{sim:/shiftr_bram_tb/dut/en_out } \
11
{sim:/shiftr_bram_tb/dut/clk } \
12
{sim:/shiftr_bram_tb/dut/rst } \
13
{sim:/shiftr_bram_tb/dut/empty } \
14
{sim:/shiftr_bram_tb/dut/data_in } \
15
{sim:/shiftr_bram_tb/dut/data_out }
16
run 10ns

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.