OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [Altera/] [ip.hwp.cpu/] [nios_ii_sram/] [1.0/] [hdl/] [nios_ii_sram.v] - Blame information for rev 147

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 147 lanttu
//megafunction wizard: %Altera SOPC Builder%
2
//GENERATION: STANDARD
3
//VERSION: WM1.0
4
 
5
 
6
//Legal Notice: (C)2012 Altera Corporation. All rights reserved.  Your
7
//use of Altera Corporation's design tools, logic functions and other
8
//software and tools, and its AMPP partner logic functions, and any
9
//output files any of the foregoing (including device programming or
10
//simulation files), and any associated documentation or information are
11
//expressly subject to the terms and conditions of the Altera Program
12
//License Subscription Agreement or other applicable license agreement,
13
//including, without limitation, that your use is for the sole purpose
14
//of programming logic devices manufactured by Altera and sold by Altera
15
//or its authorized distributors.  Please refer to the applicable
16
//agreement for further details.
17
 
18
// synthesis translate_off
19
`timescale 1ns / 1ps
20
// synthesis translate_on
21
 
22
// turn off superfluous verilog processor warnings 
23
// altera message_level Level1 
24
// altera message_off 10034 10035 10036 10037 10230 10240 10030 
25
 
26
module cpu_0_jtag_debug_module_arbitrator (
27
                                            // inputs:
28
                                             clk,
29
                                             cpu_0_data_master_address_to_slave,
30
                                             cpu_0_data_master_byteenable,
31
                                             cpu_0_data_master_debugaccess,
32
                                             cpu_0_data_master_latency_counter,
33
                                             cpu_0_data_master_read,
34
                                             cpu_0_data_master_write,
35
                                             cpu_0_data_master_writedata,
36
                                             cpu_0_instruction_master_address_to_slave,
37
                                             cpu_0_instruction_master_latency_counter,
38
                                             cpu_0_instruction_master_read,
39
                                             cpu_0_jtag_debug_module_readdata,
40
                                             cpu_0_jtag_debug_module_resetrequest,
41
                                             reset_n,
42
 
43
                                            // outputs:
44
                                             cpu_0_data_master_granted_cpu_0_jtag_debug_module,
45
                                             cpu_0_data_master_qualified_request_cpu_0_jtag_debug_module,
46
                                             cpu_0_data_master_read_data_valid_cpu_0_jtag_debug_module,
47
                                             cpu_0_data_master_requests_cpu_0_jtag_debug_module,
48
                                             cpu_0_instruction_master_granted_cpu_0_jtag_debug_module,
49
                                             cpu_0_instruction_master_qualified_request_cpu_0_jtag_debug_module,
50
                                             cpu_0_instruction_master_read_data_valid_cpu_0_jtag_debug_module,
51
                                             cpu_0_instruction_master_requests_cpu_0_jtag_debug_module,
52
                                             cpu_0_jtag_debug_module_address,
53
                                             cpu_0_jtag_debug_module_begintransfer,
54
                                             cpu_0_jtag_debug_module_byteenable,
55
                                             cpu_0_jtag_debug_module_chipselect,
56
                                             cpu_0_jtag_debug_module_debugaccess,
57
                                             cpu_0_jtag_debug_module_readdata_from_sa,
58
                                             cpu_0_jtag_debug_module_reset_n,
59
                                             cpu_0_jtag_debug_module_resetrequest_from_sa,
60
                                             cpu_0_jtag_debug_module_write,
61
                                             cpu_0_jtag_debug_module_writedata,
62
                                             d1_cpu_0_jtag_debug_module_end_xfer
63
                                          )
64
;
65
 
66
  output           cpu_0_data_master_granted_cpu_0_jtag_debug_module;
67
  output           cpu_0_data_master_qualified_request_cpu_0_jtag_debug_module;
68
  output           cpu_0_data_master_read_data_valid_cpu_0_jtag_debug_module;
69
  output           cpu_0_data_master_requests_cpu_0_jtag_debug_module;
70
  output           cpu_0_instruction_master_granted_cpu_0_jtag_debug_module;
71
  output           cpu_0_instruction_master_qualified_request_cpu_0_jtag_debug_module;
72
  output           cpu_0_instruction_master_read_data_valid_cpu_0_jtag_debug_module;
73
  output           cpu_0_instruction_master_requests_cpu_0_jtag_debug_module;
74
  output  [  8: 0] cpu_0_jtag_debug_module_address;
75
  output           cpu_0_jtag_debug_module_begintransfer;
76
  output  [  3: 0] cpu_0_jtag_debug_module_byteenable;
77
  output           cpu_0_jtag_debug_module_chipselect;
78
  output           cpu_0_jtag_debug_module_debugaccess;
79
  output  [ 31: 0] cpu_0_jtag_debug_module_readdata_from_sa;
80
  output           cpu_0_jtag_debug_module_reset_n;
81
  output           cpu_0_jtag_debug_module_resetrequest_from_sa;
82
  output           cpu_0_jtag_debug_module_write;
83
  output  [ 31: 0] cpu_0_jtag_debug_module_writedata;
84
  output           d1_cpu_0_jtag_debug_module_end_xfer;
85
  input            clk;
86
  input   [ 20: 0] cpu_0_data_master_address_to_slave;
87
  input   [  3: 0] cpu_0_data_master_byteenable;
88
  input            cpu_0_data_master_debugaccess;
89
  input   [  1: 0] cpu_0_data_master_latency_counter;
90
  input            cpu_0_data_master_read;
91
  input            cpu_0_data_master_write;
92
  input   [ 31: 0] cpu_0_data_master_writedata;
93
  input   [ 20: 0] cpu_0_instruction_master_address_to_slave;
94
  input   [  1: 0] cpu_0_instruction_master_latency_counter;
95
  input            cpu_0_instruction_master_read;
96
  input   [ 31: 0] cpu_0_jtag_debug_module_readdata;
97
  input            cpu_0_jtag_debug_module_resetrequest;
98
  input            reset_n;
99
 
100
  wire             cpu_0_data_master_arbiterlock;
101
  wire             cpu_0_data_master_arbiterlock2;
102
  wire             cpu_0_data_master_continuerequest;
103
  wire             cpu_0_data_master_granted_cpu_0_jtag_debug_module;
104
  wire             cpu_0_data_master_qualified_request_cpu_0_jtag_debug_module;
105
  wire             cpu_0_data_master_read_data_valid_cpu_0_jtag_debug_module;
106
  wire             cpu_0_data_master_requests_cpu_0_jtag_debug_module;
107
  wire             cpu_0_data_master_saved_grant_cpu_0_jtag_debug_module;
108
  wire             cpu_0_instruction_master_arbiterlock;
109
  wire             cpu_0_instruction_master_arbiterlock2;
110
  wire             cpu_0_instruction_master_continuerequest;
111
  wire             cpu_0_instruction_master_granted_cpu_0_jtag_debug_module;
112
  wire             cpu_0_instruction_master_qualified_request_cpu_0_jtag_debug_module;
113
  wire             cpu_0_instruction_master_read_data_valid_cpu_0_jtag_debug_module;
114
  wire             cpu_0_instruction_master_requests_cpu_0_jtag_debug_module;
115
  wire             cpu_0_instruction_master_saved_grant_cpu_0_jtag_debug_module;
116
  wire    [  8: 0] cpu_0_jtag_debug_module_address;
117
  wire             cpu_0_jtag_debug_module_allgrants;
118
  wire             cpu_0_jtag_debug_module_allow_new_arb_cycle;
119
  wire             cpu_0_jtag_debug_module_any_bursting_master_saved_grant;
120
  wire             cpu_0_jtag_debug_module_any_continuerequest;
121
  reg     [  1: 0] cpu_0_jtag_debug_module_arb_addend;
122
  wire             cpu_0_jtag_debug_module_arb_counter_enable;
123
  reg     [  1: 0] cpu_0_jtag_debug_module_arb_share_counter;
124
  wire    [  1: 0] cpu_0_jtag_debug_module_arb_share_counter_next_value;
125
  wire    [  1: 0] cpu_0_jtag_debug_module_arb_share_set_values;
126
  wire    [  1: 0] cpu_0_jtag_debug_module_arb_winner;
127
  wire             cpu_0_jtag_debug_module_arbitration_holdoff_internal;
128
  wire             cpu_0_jtag_debug_module_beginbursttransfer_internal;
129
  wire             cpu_0_jtag_debug_module_begins_xfer;
130
  wire             cpu_0_jtag_debug_module_begintransfer;
131
  wire    [  3: 0] cpu_0_jtag_debug_module_byteenable;
132
  wire             cpu_0_jtag_debug_module_chipselect;
133
  wire    [  3: 0] cpu_0_jtag_debug_module_chosen_master_double_vector;
134
  wire    [  1: 0] cpu_0_jtag_debug_module_chosen_master_rot_left;
135
  wire             cpu_0_jtag_debug_module_debugaccess;
136
  wire             cpu_0_jtag_debug_module_end_xfer;
137
  wire             cpu_0_jtag_debug_module_firsttransfer;
138
  wire    [  1: 0] cpu_0_jtag_debug_module_grant_vector;
139
  wire             cpu_0_jtag_debug_module_in_a_read_cycle;
140
  wire             cpu_0_jtag_debug_module_in_a_write_cycle;
141
  wire    [  1: 0] cpu_0_jtag_debug_module_master_qreq_vector;
142
  wire             cpu_0_jtag_debug_module_non_bursting_master_requests;
143
  wire    [ 31: 0] cpu_0_jtag_debug_module_readdata_from_sa;
144
  reg              cpu_0_jtag_debug_module_reg_firsttransfer;
145
  wire             cpu_0_jtag_debug_module_reset_n;
146
  wire             cpu_0_jtag_debug_module_resetrequest_from_sa;
147
  reg     [  1: 0] cpu_0_jtag_debug_module_saved_chosen_master_vector;
148
  reg              cpu_0_jtag_debug_module_slavearbiterlockenable;
149
  wire             cpu_0_jtag_debug_module_slavearbiterlockenable2;
150
  wire             cpu_0_jtag_debug_module_unreg_firsttransfer;
151
  wire             cpu_0_jtag_debug_module_waits_for_read;
152
  wire             cpu_0_jtag_debug_module_waits_for_write;
153
  wire             cpu_0_jtag_debug_module_write;
154
  wire    [ 31: 0] cpu_0_jtag_debug_module_writedata;
155
  reg              d1_cpu_0_jtag_debug_module_end_xfer;
156
  reg              d1_reasons_to_wait;
157
  reg              enable_nonzero_assertions;
158
  wire             end_xfer_arb_share_counter_term_cpu_0_jtag_debug_module;
159
  wire             in_a_read_cycle;
160
  wire             in_a_write_cycle;
161
  reg              last_cycle_cpu_0_data_master_granted_slave_cpu_0_jtag_debug_module;
162
  reg              last_cycle_cpu_0_instruction_master_granted_slave_cpu_0_jtag_debug_module;
163
  wire    [ 20: 0] shifted_address_to_cpu_0_jtag_debug_module_from_cpu_0_data_master;
164
  wire    [ 20: 0] shifted_address_to_cpu_0_jtag_debug_module_from_cpu_0_instruction_master;
165
  wire             wait_for_cpu_0_jtag_debug_module_counter;
166
  always @(posedge clk or negedge reset_n)
167
    begin
168
      if (reset_n == 0)
169
          d1_reasons_to_wait <= 0;
170
      else
171
        d1_reasons_to_wait <= ~cpu_0_jtag_debug_module_end_xfer;
172
    end
173
 
174
 
175
  assign cpu_0_jtag_debug_module_begins_xfer = ~d1_reasons_to_wait & ((cpu_0_data_master_qualified_request_cpu_0_jtag_debug_module | cpu_0_instruction_master_qualified_request_cpu_0_jtag_debug_module));
176
  //assign cpu_0_jtag_debug_module_readdata_from_sa = cpu_0_jtag_debug_module_readdata so that symbol knows where to group signals which may go to master only, which is an e_assign
177
  assign cpu_0_jtag_debug_module_readdata_from_sa = cpu_0_jtag_debug_module_readdata;
178
 
179
  assign cpu_0_data_master_requests_cpu_0_jtag_debug_module = ({cpu_0_data_master_address_to_slave[20 : 11] , 11'b0} == 21'h101000) & (cpu_0_data_master_read | cpu_0_data_master_write);
180
  //cpu_0_jtag_debug_module_arb_share_counter set values, which is an e_mux
181
  assign cpu_0_jtag_debug_module_arb_share_set_values = 1;
182
 
183
  //cpu_0_jtag_debug_module_non_bursting_master_requests mux, which is an e_mux
184
  assign cpu_0_jtag_debug_module_non_bursting_master_requests = cpu_0_data_master_requests_cpu_0_jtag_debug_module |
185
    cpu_0_instruction_master_requests_cpu_0_jtag_debug_module |
186
    cpu_0_data_master_requests_cpu_0_jtag_debug_module |
187
    cpu_0_instruction_master_requests_cpu_0_jtag_debug_module;
188
 
189
  //cpu_0_jtag_debug_module_any_bursting_master_saved_grant mux, which is an e_mux
190
  assign cpu_0_jtag_debug_module_any_bursting_master_saved_grant = 0;
191
 
192
  //cpu_0_jtag_debug_module_arb_share_counter_next_value assignment, which is an e_assign
193
  assign cpu_0_jtag_debug_module_arb_share_counter_next_value = cpu_0_jtag_debug_module_firsttransfer ? (cpu_0_jtag_debug_module_arb_share_set_values - 1) : |cpu_0_jtag_debug_module_arb_share_counter ? (cpu_0_jtag_debug_module_arb_share_counter - 1) : 0;
194
 
195
  //cpu_0_jtag_debug_module_allgrants all slave grants, which is an e_mux
196
  assign cpu_0_jtag_debug_module_allgrants = (|cpu_0_jtag_debug_module_grant_vector) |
197
    (|cpu_0_jtag_debug_module_grant_vector) |
198
    (|cpu_0_jtag_debug_module_grant_vector) |
199
    (|cpu_0_jtag_debug_module_grant_vector);
200
 
201
  //cpu_0_jtag_debug_module_end_xfer assignment, which is an e_assign
202
  assign cpu_0_jtag_debug_module_end_xfer = ~(cpu_0_jtag_debug_module_waits_for_read | cpu_0_jtag_debug_module_waits_for_write);
203
 
204
  //end_xfer_arb_share_counter_term_cpu_0_jtag_debug_module arb share counter enable term, which is an e_assign
205
  assign end_xfer_arb_share_counter_term_cpu_0_jtag_debug_module = cpu_0_jtag_debug_module_end_xfer & (~cpu_0_jtag_debug_module_any_bursting_master_saved_grant | in_a_read_cycle | in_a_write_cycle);
206
 
207
  //cpu_0_jtag_debug_module_arb_share_counter arbitration counter enable, which is an e_assign
208
  assign cpu_0_jtag_debug_module_arb_counter_enable = (end_xfer_arb_share_counter_term_cpu_0_jtag_debug_module & cpu_0_jtag_debug_module_allgrants) | (end_xfer_arb_share_counter_term_cpu_0_jtag_debug_module & ~cpu_0_jtag_debug_module_non_bursting_master_requests);
209
 
210
  //cpu_0_jtag_debug_module_arb_share_counter counter, which is an e_register
211
  always @(posedge clk or negedge reset_n)
212
    begin
213
      if (reset_n == 0)
214
          cpu_0_jtag_debug_module_arb_share_counter <= 0;
215
      else if (cpu_0_jtag_debug_module_arb_counter_enable)
216
          cpu_0_jtag_debug_module_arb_share_counter <= cpu_0_jtag_debug_module_arb_share_counter_next_value;
217
    end
218
 
219
 
220
  //cpu_0_jtag_debug_module_slavearbiterlockenable slave enables arbiterlock, which is an e_register
221
  always @(posedge clk or negedge reset_n)
222
    begin
223
      if (reset_n == 0)
224
          cpu_0_jtag_debug_module_slavearbiterlockenable <= 0;
225
      else if ((|cpu_0_jtag_debug_module_master_qreq_vector & end_xfer_arb_share_counter_term_cpu_0_jtag_debug_module) | (end_xfer_arb_share_counter_term_cpu_0_jtag_debug_module & ~cpu_0_jtag_debug_module_non_bursting_master_requests))
226
          cpu_0_jtag_debug_module_slavearbiterlockenable <= |cpu_0_jtag_debug_module_arb_share_counter_next_value;
227
    end
228
 
229
 
230
  //cpu_0/data_master cpu_0/jtag_debug_module arbiterlock, which is an e_assign
231
  assign cpu_0_data_master_arbiterlock = cpu_0_jtag_debug_module_slavearbiterlockenable & cpu_0_data_master_continuerequest;
232
 
233
  //cpu_0_jtag_debug_module_slavearbiterlockenable2 slave enables arbiterlock2, which is an e_assign
234
  assign cpu_0_jtag_debug_module_slavearbiterlockenable2 = |cpu_0_jtag_debug_module_arb_share_counter_next_value;
235
 
236
  //cpu_0/data_master cpu_0/jtag_debug_module arbiterlock2, which is an e_assign
237
  assign cpu_0_data_master_arbiterlock2 = cpu_0_jtag_debug_module_slavearbiterlockenable2 & cpu_0_data_master_continuerequest;
238
 
239
  //cpu_0/instruction_master cpu_0/jtag_debug_module arbiterlock, which is an e_assign
240
  assign cpu_0_instruction_master_arbiterlock = cpu_0_jtag_debug_module_slavearbiterlockenable & cpu_0_instruction_master_continuerequest;
241
 
242
  //cpu_0/instruction_master cpu_0/jtag_debug_module arbiterlock2, which is an e_assign
243
  assign cpu_0_instruction_master_arbiterlock2 = cpu_0_jtag_debug_module_slavearbiterlockenable2 & cpu_0_instruction_master_continuerequest;
244
 
245
  //cpu_0/instruction_master granted cpu_0/jtag_debug_module last time, which is an e_register
246
  always @(posedge clk or negedge reset_n)
247
    begin
248
      if (reset_n == 0)
249
          last_cycle_cpu_0_instruction_master_granted_slave_cpu_0_jtag_debug_module <= 0;
250
      else
251
        last_cycle_cpu_0_instruction_master_granted_slave_cpu_0_jtag_debug_module <= cpu_0_instruction_master_saved_grant_cpu_0_jtag_debug_module ? 1 : (cpu_0_jtag_debug_module_arbitration_holdoff_internal | ~cpu_0_instruction_master_requests_cpu_0_jtag_debug_module) ? 0 : last_cycle_cpu_0_instruction_master_granted_slave_cpu_0_jtag_debug_module;
252
    end
253
 
254
 
255
  //cpu_0_instruction_master_continuerequest continued request, which is an e_mux
256
  assign cpu_0_instruction_master_continuerequest = last_cycle_cpu_0_instruction_master_granted_slave_cpu_0_jtag_debug_module & cpu_0_instruction_master_requests_cpu_0_jtag_debug_module;
257
 
258
  //cpu_0_jtag_debug_module_any_continuerequest at least one master continues requesting, which is an e_mux
259
  assign cpu_0_jtag_debug_module_any_continuerequest = cpu_0_instruction_master_continuerequest |
260
    cpu_0_data_master_continuerequest;
261
 
262
  assign cpu_0_data_master_qualified_request_cpu_0_jtag_debug_module = cpu_0_data_master_requests_cpu_0_jtag_debug_module & ~((cpu_0_data_master_read & ((cpu_0_data_master_latency_counter != 0))) | cpu_0_instruction_master_arbiterlock);
263
  //local readdatavalid cpu_0_data_master_read_data_valid_cpu_0_jtag_debug_module, which is an e_mux
264
  assign cpu_0_data_master_read_data_valid_cpu_0_jtag_debug_module = cpu_0_data_master_granted_cpu_0_jtag_debug_module & cpu_0_data_master_read & ~cpu_0_jtag_debug_module_waits_for_read;
265
 
266
  //cpu_0_jtag_debug_module_writedata mux, which is an e_mux
267
  assign cpu_0_jtag_debug_module_writedata = cpu_0_data_master_writedata;
268
 
269
  assign cpu_0_instruction_master_requests_cpu_0_jtag_debug_module = (({cpu_0_instruction_master_address_to_slave[20 : 11] , 11'b0} == 21'h101000) & (cpu_0_instruction_master_read)) & cpu_0_instruction_master_read;
270
  //cpu_0/data_master granted cpu_0/jtag_debug_module last time, which is an e_register
271
  always @(posedge clk or negedge reset_n)
272
    begin
273
      if (reset_n == 0)
274
          last_cycle_cpu_0_data_master_granted_slave_cpu_0_jtag_debug_module <= 0;
275
      else
276
        last_cycle_cpu_0_data_master_granted_slave_cpu_0_jtag_debug_module <= cpu_0_data_master_saved_grant_cpu_0_jtag_debug_module ? 1 : (cpu_0_jtag_debug_module_arbitration_holdoff_internal | ~cpu_0_data_master_requests_cpu_0_jtag_debug_module) ? 0 : last_cycle_cpu_0_data_master_granted_slave_cpu_0_jtag_debug_module;
277
    end
278
 
279
 
280
  //cpu_0_data_master_continuerequest continued request, which is an e_mux
281
  assign cpu_0_data_master_continuerequest = last_cycle_cpu_0_data_master_granted_slave_cpu_0_jtag_debug_module & cpu_0_data_master_requests_cpu_0_jtag_debug_module;
282
 
283
  assign cpu_0_instruction_master_qualified_request_cpu_0_jtag_debug_module = cpu_0_instruction_master_requests_cpu_0_jtag_debug_module & ~((cpu_0_instruction_master_read & ((cpu_0_instruction_master_latency_counter != 0))) | cpu_0_data_master_arbiterlock);
284
  //local readdatavalid cpu_0_instruction_master_read_data_valid_cpu_0_jtag_debug_module, which is an e_mux
285
  assign cpu_0_instruction_master_read_data_valid_cpu_0_jtag_debug_module = cpu_0_instruction_master_granted_cpu_0_jtag_debug_module & cpu_0_instruction_master_read & ~cpu_0_jtag_debug_module_waits_for_read;
286
 
287
  //allow new arb cycle for cpu_0/jtag_debug_module, which is an e_assign
288
  assign cpu_0_jtag_debug_module_allow_new_arb_cycle = ~cpu_0_data_master_arbiterlock & ~cpu_0_instruction_master_arbiterlock;
289
 
290
  //cpu_0/instruction_master assignment into master qualified-requests vector for cpu_0/jtag_debug_module, which is an e_assign
291
  assign cpu_0_jtag_debug_module_master_qreq_vector[0] = cpu_0_instruction_master_qualified_request_cpu_0_jtag_debug_module;
292
 
293
  //cpu_0/instruction_master grant cpu_0/jtag_debug_module, which is an e_assign
294
  assign cpu_0_instruction_master_granted_cpu_0_jtag_debug_module = cpu_0_jtag_debug_module_grant_vector[0];
295
 
296
  //cpu_0/instruction_master saved-grant cpu_0/jtag_debug_module, which is an e_assign
297
  assign cpu_0_instruction_master_saved_grant_cpu_0_jtag_debug_module = cpu_0_jtag_debug_module_arb_winner[0] && cpu_0_instruction_master_requests_cpu_0_jtag_debug_module;
298
 
299
  //cpu_0/data_master assignment into master qualified-requests vector for cpu_0/jtag_debug_module, which is an e_assign
300
  assign cpu_0_jtag_debug_module_master_qreq_vector[1] = cpu_0_data_master_qualified_request_cpu_0_jtag_debug_module;
301
 
302
  //cpu_0/data_master grant cpu_0/jtag_debug_module, which is an e_assign
303
  assign cpu_0_data_master_granted_cpu_0_jtag_debug_module = cpu_0_jtag_debug_module_grant_vector[1];
304
 
305
  //cpu_0/data_master saved-grant cpu_0/jtag_debug_module, which is an e_assign
306
  assign cpu_0_data_master_saved_grant_cpu_0_jtag_debug_module = cpu_0_jtag_debug_module_arb_winner[1] && cpu_0_data_master_requests_cpu_0_jtag_debug_module;
307
 
308
  //cpu_0/jtag_debug_module chosen-master double-vector, which is an e_assign
309
  assign cpu_0_jtag_debug_module_chosen_master_double_vector = {cpu_0_jtag_debug_module_master_qreq_vector, cpu_0_jtag_debug_module_master_qreq_vector} & ({~cpu_0_jtag_debug_module_master_qreq_vector, ~cpu_0_jtag_debug_module_master_qreq_vector} + cpu_0_jtag_debug_module_arb_addend);
310
 
311
  //stable onehot encoding of arb winner
312
  assign cpu_0_jtag_debug_module_arb_winner = (cpu_0_jtag_debug_module_allow_new_arb_cycle & | cpu_0_jtag_debug_module_grant_vector) ? cpu_0_jtag_debug_module_grant_vector : cpu_0_jtag_debug_module_saved_chosen_master_vector;
313
 
314
  //saved cpu_0_jtag_debug_module_grant_vector, which is an e_register
315
  always @(posedge clk or negedge reset_n)
316
    begin
317
      if (reset_n == 0)
318
          cpu_0_jtag_debug_module_saved_chosen_master_vector <= 0;
319
      else if (cpu_0_jtag_debug_module_allow_new_arb_cycle)
320
          cpu_0_jtag_debug_module_saved_chosen_master_vector <= |cpu_0_jtag_debug_module_grant_vector ? cpu_0_jtag_debug_module_grant_vector : cpu_0_jtag_debug_module_saved_chosen_master_vector;
321
    end
322
 
323
 
324
  //onehot encoding of chosen master
325
  assign cpu_0_jtag_debug_module_grant_vector = {(cpu_0_jtag_debug_module_chosen_master_double_vector[1] | cpu_0_jtag_debug_module_chosen_master_double_vector[3]),
326
    (cpu_0_jtag_debug_module_chosen_master_double_vector[0] | cpu_0_jtag_debug_module_chosen_master_double_vector[2])};
327
 
328
  //cpu_0/jtag_debug_module chosen master rotated left, which is an e_assign
329
  assign cpu_0_jtag_debug_module_chosen_master_rot_left = (cpu_0_jtag_debug_module_arb_winner << 1) ? (cpu_0_jtag_debug_module_arb_winner << 1) : 1;
330
 
331
  //cpu_0/jtag_debug_module's addend for next-master-grant
332
  always @(posedge clk or negedge reset_n)
333
    begin
334
      if (reset_n == 0)
335
          cpu_0_jtag_debug_module_arb_addend <= 1;
336
      else if (|cpu_0_jtag_debug_module_grant_vector)
337
          cpu_0_jtag_debug_module_arb_addend <= cpu_0_jtag_debug_module_end_xfer? cpu_0_jtag_debug_module_chosen_master_rot_left : cpu_0_jtag_debug_module_grant_vector;
338
    end
339
 
340
 
341
  assign cpu_0_jtag_debug_module_begintransfer = cpu_0_jtag_debug_module_begins_xfer;
342
  //cpu_0_jtag_debug_module_reset_n assignment, which is an e_assign
343
  assign cpu_0_jtag_debug_module_reset_n = reset_n;
344
 
345
  //assign cpu_0_jtag_debug_module_resetrequest_from_sa = cpu_0_jtag_debug_module_resetrequest so that symbol knows where to group signals which may go to master only, which is an e_assign
346
  assign cpu_0_jtag_debug_module_resetrequest_from_sa = cpu_0_jtag_debug_module_resetrequest;
347
 
348
  assign cpu_0_jtag_debug_module_chipselect = cpu_0_data_master_granted_cpu_0_jtag_debug_module | cpu_0_instruction_master_granted_cpu_0_jtag_debug_module;
349
  //cpu_0_jtag_debug_module_firsttransfer first transaction, which is an e_assign
350
  assign cpu_0_jtag_debug_module_firsttransfer = cpu_0_jtag_debug_module_begins_xfer ? cpu_0_jtag_debug_module_unreg_firsttransfer : cpu_0_jtag_debug_module_reg_firsttransfer;
351
 
352
  //cpu_0_jtag_debug_module_unreg_firsttransfer first transaction, which is an e_assign
353
  assign cpu_0_jtag_debug_module_unreg_firsttransfer = ~(cpu_0_jtag_debug_module_slavearbiterlockenable & cpu_0_jtag_debug_module_any_continuerequest);
354
 
355
  //cpu_0_jtag_debug_module_reg_firsttransfer first transaction, which is an e_register
356
  always @(posedge clk or negedge reset_n)
357
    begin
358
      if (reset_n == 0)
359
          cpu_0_jtag_debug_module_reg_firsttransfer <= 1'b1;
360
      else if (cpu_0_jtag_debug_module_begins_xfer)
361
          cpu_0_jtag_debug_module_reg_firsttransfer <= cpu_0_jtag_debug_module_unreg_firsttransfer;
362
    end
363
 
364
 
365
  //cpu_0_jtag_debug_module_beginbursttransfer_internal begin burst transfer, which is an e_assign
366
  assign cpu_0_jtag_debug_module_beginbursttransfer_internal = cpu_0_jtag_debug_module_begins_xfer;
367
 
368
  //cpu_0_jtag_debug_module_arbitration_holdoff_internal arbitration_holdoff, which is an e_assign
369
  assign cpu_0_jtag_debug_module_arbitration_holdoff_internal = cpu_0_jtag_debug_module_begins_xfer & cpu_0_jtag_debug_module_firsttransfer;
370
 
371
  //cpu_0_jtag_debug_module_write assignment, which is an e_mux
372
  assign cpu_0_jtag_debug_module_write = cpu_0_data_master_granted_cpu_0_jtag_debug_module & cpu_0_data_master_write;
373
 
374
  assign shifted_address_to_cpu_0_jtag_debug_module_from_cpu_0_data_master = cpu_0_data_master_address_to_slave;
375
  //cpu_0_jtag_debug_module_address mux, which is an e_mux
376
  assign cpu_0_jtag_debug_module_address = (cpu_0_data_master_granted_cpu_0_jtag_debug_module)? (shifted_address_to_cpu_0_jtag_debug_module_from_cpu_0_data_master >> 2) :
377
    (shifted_address_to_cpu_0_jtag_debug_module_from_cpu_0_instruction_master >> 2);
378
 
379
  assign shifted_address_to_cpu_0_jtag_debug_module_from_cpu_0_instruction_master = cpu_0_instruction_master_address_to_slave;
380
  //d1_cpu_0_jtag_debug_module_end_xfer register, which is an e_register
381
  always @(posedge clk or negedge reset_n)
382
    begin
383
      if (reset_n == 0)
384
          d1_cpu_0_jtag_debug_module_end_xfer <= 1;
385
      else
386
        d1_cpu_0_jtag_debug_module_end_xfer <= cpu_0_jtag_debug_module_end_xfer;
387
    end
388
 
389
 
390
  //cpu_0_jtag_debug_module_waits_for_read in a cycle, which is an e_mux
391
  assign cpu_0_jtag_debug_module_waits_for_read = cpu_0_jtag_debug_module_in_a_read_cycle & cpu_0_jtag_debug_module_begins_xfer;
392
 
393
  //cpu_0_jtag_debug_module_in_a_read_cycle assignment, which is an e_assign
394
  assign cpu_0_jtag_debug_module_in_a_read_cycle = (cpu_0_data_master_granted_cpu_0_jtag_debug_module & cpu_0_data_master_read) | (cpu_0_instruction_master_granted_cpu_0_jtag_debug_module & cpu_0_instruction_master_read);
395
 
396
  //in_a_read_cycle assignment, which is an e_mux
397
  assign in_a_read_cycle = cpu_0_jtag_debug_module_in_a_read_cycle;
398
 
399
  //cpu_0_jtag_debug_module_waits_for_write in a cycle, which is an e_mux
400
  assign cpu_0_jtag_debug_module_waits_for_write = cpu_0_jtag_debug_module_in_a_write_cycle & 0;
401
 
402
  //cpu_0_jtag_debug_module_in_a_write_cycle assignment, which is an e_assign
403
  assign cpu_0_jtag_debug_module_in_a_write_cycle = cpu_0_data_master_granted_cpu_0_jtag_debug_module & cpu_0_data_master_write;
404
 
405
  //in_a_write_cycle assignment, which is an e_mux
406
  assign in_a_write_cycle = cpu_0_jtag_debug_module_in_a_write_cycle;
407
 
408
  assign wait_for_cpu_0_jtag_debug_module_counter = 0;
409
  //cpu_0_jtag_debug_module_byteenable byte enable port mux, which is an e_mux
410
  assign cpu_0_jtag_debug_module_byteenable = (cpu_0_data_master_granted_cpu_0_jtag_debug_module)? cpu_0_data_master_byteenable :
411
    -1;
412
 
413
  //debugaccess mux, which is an e_mux
414
  assign cpu_0_jtag_debug_module_debugaccess = (cpu_0_data_master_granted_cpu_0_jtag_debug_module)? cpu_0_data_master_debugaccess :
415
    0;
416
 
417
 
418
//synthesis translate_off
419
//////////////// SIMULATION-ONLY CONTENTS
420
  //cpu_0/jtag_debug_module enable non-zero assertions, which is an e_register
421
  always @(posedge clk or negedge reset_n)
422
    begin
423
      if (reset_n == 0)
424
          enable_nonzero_assertions <= 0;
425
      else
426
        enable_nonzero_assertions <= 1'b1;
427
    end
428
 
429
 
430
  //grant signals are active simultaneously, which is an e_process
431
  always @(posedge clk)
432
    begin
433
      if (cpu_0_data_master_granted_cpu_0_jtag_debug_module + cpu_0_instruction_master_granted_cpu_0_jtag_debug_module > 1)
434
        begin
435
          $write("%0d ns: > 1 of grant signals are active simultaneously", $time);
436
          $stop;
437
        end
438
    end
439
 
440
 
441
  //saved_grant signals are active simultaneously, which is an e_process
442
  always @(posedge clk)
443
    begin
444
      if (cpu_0_data_master_saved_grant_cpu_0_jtag_debug_module + cpu_0_instruction_master_saved_grant_cpu_0_jtag_debug_module > 1)
445
        begin
446
          $write("%0d ns: > 1 of saved_grant signals are active simultaneously", $time);
447
          $stop;
448
        end
449
    end
450
 
451
 
452
 
453
//////////////// END SIMULATION-ONLY CONTENTS
454
 
455
//synthesis translate_on
456
 
457
endmodule
458
 
459
 
460
// synthesis translate_off
461
`timescale 1ns / 1ps
462
// synthesis translate_on
463
 
464
// turn off superfluous verilog processor warnings 
465
// altera message_level Level1 
466
// altera message_off 10034 10035 10036 10037 10230 10240 10030 
467
 
468
module cpu_0_data_master_arbitrator (
469
                                      // inputs:
470
                                       clk,
471
                                       cpu_0_data_master_address,
472
                                       cpu_0_data_master_byteenable,
473
                                       cpu_0_data_master_byteenable_sram_0_avalon_sram_slave,
474
                                       cpu_0_data_master_granted_cpu_0_jtag_debug_module,
475
                                       cpu_0_data_master_granted_hibi_pe_dma_0_avalon_slave_0,
476
                                       cpu_0_data_master_granted_jtag_uart_0_avalon_jtag_slave,
477
                                       cpu_0_data_master_granted_onchip_memory_0_s2,
478
                                       cpu_0_data_master_granted_sram_0_avalon_sram_slave,
479
                                       cpu_0_data_master_granted_sysid_control_slave,
480
                                       cpu_0_data_master_granted_timer_0_s1,
481
                                       cpu_0_data_master_qualified_request_cpu_0_jtag_debug_module,
482
                                       cpu_0_data_master_qualified_request_hibi_pe_dma_0_avalon_slave_0,
483
                                       cpu_0_data_master_qualified_request_jtag_uart_0_avalon_jtag_slave,
484
                                       cpu_0_data_master_qualified_request_onchip_memory_0_s2,
485
                                       cpu_0_data_master_qualified_request_sram_0_avalon_sram_slave,
486
                                       cpu_0_data_master_qualified_request_sysid_control_slave,
487
                                       cpu_0_data_master_qualified_request_timer_0_s1,
488
                                       cpu_0_data_master_read,
489
                                       cpu_0_data_master_read_data_valid_cpu_0_jtag_debug_module,
490
                                       cpu_0_data_master_read_data_valid_hibi_pe_dma_0_avalon_slave_0,
491
                                       cpu_0_data_master_read_data_valid_jtag_uart_0_avalon_jtag_slave,
492
                                       cpu_0_data_master_read_data_valid_onchip_memory_0_s2,
493
                                       cpu_0_data_master_read_data_valid_sram_0_avalon_sram_slave,
494
                                       cpu_0_data_master_read_data_valid_sysid_control_slave,
495
                                       cpu_0_data_master_read_data_valid_timer_0_s1,
496
                                       cpu_0_data_master_requests_cpu_0_jtag_debug_module,
497
                                       cpu_0_data_master_requests_hibi_pe_dma_0_avalon_slave_0,
498
                                       cpu_0_data_master_requests_jtag_uart_0_avalon_jtag_slave,
499
                                       cpu_0_data_master_requests_onchip_memory_0_s2,
500
                                       cpu_0_data_master_requests_sram_0_avalon_sram_slave,
501
                                       cpu_0_data_master_requests_sysid_control_slave,
502
                                       cpu_0_data_master_requests_timer_0_s1,
503
                                       cpu_0_data_master_write,
504
                                       cpu_0_data_master_writedata,
505
                                       cpu_0_jtag_debug_module_readdata_from_sa,
506
                                       d1_cpu_0_jtag_debug_module_end_xfer,
507
                                       d1_hibi_pe_dma_0_avalon_slave_0_end_xfer,
508
                                       d1_jtag_uart_0_avalon_jtag_slave_end_xfer,
509
                                       d1_onchip_memory_0_s2_end_xfer,
510
                                       d1_sram_0_avalon_sram_slave_end_xfer,
511
                                       d1_sysid_control_slave_end_xfer,
512
                                       d1_timer_0_s1_end_xfer,
513
                                       hibi_pe_dma_0_avalon_slave_0_irq_from_sa,
514
                                       hibi_pe_dma_0_avalon_slave_0_readdata_from_sa,
515
                                       hibi_pe_dma_0_avalon_slave_0_waitrequest_from_sa,
516
                                       jtag_uart_0_avalon_jtag_slave_irq_from_sa,
517
                                       jtag_uart_0_avalon_jtag_slave_readdata_from_sa,
518
                                       jtag_uart_0_avalon_jtag_slave_waitrequest_from_sa,
519
                                       onchip_memory_0_s2_readdata_from_sa,
520
                                       reset_n,
521
                                       sram_0_avalon_sram_slave_readdata_from_sa,
522
                                       sysid_control_slave_readdata_from_sa,
523
                                       timer_0_s1_irq_from_sa,
524
                                       timer_0_s1_readdata_from_sa,
525
 
526
                                      // outputs:
527
                                       cpu_0_data_master_address_to_slave,
528
                                       cpu_0_data_master_dbs_address,
529
                                       cpu_0_data_master_dbs_write_16,
530
                                       cpu_0_data_master_irq,
531
                                       cpu_0_data_master_latency_counter,
532
                                       cpu_0_data_master_readdata,
533
                                       cpu_0_data_master_readdatavalid,
534
                                       cpu_0_data_master_waitrequest
535
                                    )
536
;
537
 
538
  output  [ 20: 0] cpu_0_data_master_address_to_slave;
539
  output  [  1: 0] cpu_0_data_master_dbs_address;
540
  output  [ 15: 0] cpu_0_data_master_dbs_write_16;
541
  output  [ 31: 0] cpu_0_data_master_irq;
542
  output  [  1: 0] cpu_0_data_master_latency_counter;
543
  output  [ 31: 0] cpu_0_data_master_readdata;
544
  output           cpu_0_data_master_readdatavalid;
545
  output           cpu_0_data_master_waitrequest;
546
  input            clk;
547
  input   [ 20: 0] cpu_0_data_master_address;
548
  input   [  3: 0] cpu_0_data_master_byteenable;
549
  input   [  1: 0] cpu_0_data_master_byteenable_sram_0_avalon_sram_slave;
550
  input            cpu_0_data_master_granted_cpu_0_jtag_debug_module;
551
  input            cpu_0_data_master_granted_hibi_pe_dma_0_avalon_slave_0;
552
  input            cpu_0_data_master_granted_jtag_uart_0_avalon_jtag_slave;
553
  input            cpu_0_data_master_granted_onchip_memory_0_s2;
554
  input            cpu_0_data_master_granted_sram_0_avalon_sram_slave;
555
  input            cpu_0_data_master_granted_sysid_control_slave;
556
  input            cpu_0_data_master_granted_timer_0_s1;
557
  input            cpu_0_data_master_qualified_request_cpu_0_jtag_debug_module;
558
  input            cpu_0_data_master_qualified_request_hibi_pe_dma_0_avalon_slave_0;
559
  input            cpu_0_data_master_qualified_request_jtag_uart_0_avalon_jtag_slave;
560
  input            cpu_0_data_master_qualified_request_onchip_memory_0_s2;
561
  input            cpu_0_data_master_qualified_request_sram_0_avalon_sram_slave;
562
  input            cpu_0_data_master_qualified_request_sysid_control_slave;
563
  input            cpu_0_data_master_qualified_request_timer_0_s1;
564
  input            cpu_0_data_master_read;
565
  input            cpu_0_data_master_read_data_valid_cpu_0_jtag_debug_module;
566
  input            cpu_0_data_master_read_data_valid_hibi_pe_dma_0_avalon_slave_0;
567
  input            cpu_0_data_master_read_data_valid_jtag_uart_0_avalon_jtag_slave;
568
  input            cpu_0_data_master_read_data_valid_onchip_memory_0_s2;
569
  input            cpu_0_data_master_read_data_valid_sram_0_avalon_sram_slave;
570
  input            cpu_0_data_master_read_data_valid_sysid_control_slave;
571
  input            cpu_0_data_master_read_data_valid_timer_0_s1;
572
  input            cpu_0_data_master_requests_cpu_0_jtag_debug_module;
573
  input            cpu_0_data_master_requests_hibi_pe_dma_0_avalon_slave_0;
574
  input            cpu_0_data_master_requests_jtag_uart_0_avalon_jtag_slave;
575
  input            cpu_0_data_master_requests_onchip_memory_0_s2;
576
  input            cpu_0_data_master_requests_sram_0_avalon_sram_slave;
577
  input            cpu_0_data_master_requests_sysid_control_slave;
578
  input            cpu_0_data_master_requests_timer_0_s1;
579
  input            cpu_0_data_master_write;
580
  input   [ 31: 0] cpu_0_data_master_writedata;
581
  input   [ 31: 0] cpu_0_jtag_debug_module_readdata_from_sa;
582
  input            d1_cpu_0_jtag_debug_module_end_xfer;
583
  input            d1_hibi_pe_dma_0_avalon_slave_0_end_xfer;
584
  input            d1_jtag_uart_0_avalon_jtag_slave_end_xfer;
585
  input            d1_onchip_memory_0_s2_end_xfer;
586
  input            d1_sram_0_avalon_sram_slave_end_xfer;
587
  input            d1_sysid_control_slave_end_xfer;
588
  input            d1_timer_0_s1_end_xfer;
589
  input            hibi_pe_dma_0_avalon_slave_0_irq_from_sa;
590
  input   [ 31: 0] hibi_pe_dma_0_avalon_slave_0_readdata_from_sa;
591
  input            hibi_pe_dma_0_avalon_slave_0_waitrequest_from_sa;
592
  input            jtag_uart_0_avalon_jtag_slave_irq_from_sa;
593
  input   [ 31: 0] jtag_uart_0_avalon_jtag_slave_readdata_from_sa;
594
  input            jtag_uart_0_avalon_jtag_slave_waitrequest_from_sa;
595
  input   [ 31: 0] onchip_memory_0_s2_readdata_from_sa;
596
  input            reset_n;
597
  input   [ 15: 0] sram_0_avalon_sram_slave_readdata_from_sa;
598
  input   [ 31: 0] sysid_control_slave_readdata_from_sa;
599
  input            timer_0_s1_irq_from_sa;
600
  input   [ 15: 0] timer_0_s1_readdata_from_sa;
601
 
602
  reg              active_and_waiting_last_time;
603
  reg     [ 20: 0] cpu_0_data_master_address_last_time;
604
  wire    [ 20: 0] cpu_0_data_master_address_to_slave;
605
  reg     [  3: 0] cpu_0_data_master_byteenable_last_time;
606
  reg     [  1: 0] cpu_0_data_master_dbs_address;
607
  wire    [  1: 0] cpu_0_data_master_dbs_increment;
608
  reg     [  1: 0] cpu_0_data_master_dbs_rdv_counter;
609
  wire    [  1: 0] cpu_0_data_master_dbs_rdv_counter_inc;
610
  wire    [ 15: 0] cpu_0_data_master_dbs_write_16;
611
  wire    [ 31: 0] cpu_0_data_master_irq;
612
  wire             cpu_0_data_master_is_granted_some_slave;
613
  reg     [  1: 0] cpu_0_data_master_latency_counter;
614
  wire    [  1: 0] cpu_0_data_master_next_dbs_rdv_counter;
615
  reg              cpu_0_data_master_read_but_no_slave_selected;
616
  reg              cpu_0_data_master_read_last_time;
617
  wire    [ 31: 0] cpu_0_data_master_readdata;
618
  wire             cpu_0_data_master_readdatavalid;
619
  wire             cpu_0_data_master_run;
620
  wire             cpu_0_data_master_waitrequest;
621
  reg              cpu_0_data_master_write_last_time;
622
  reg     [ 31: 0] cpu_0_data_master_writedata_last_time;
623
  wire             dbs_count_enable;
624
  wire             dbs_counter_overflow;
625
  reg     [ 15: 0] dbs_latent_16_reg_segment_0;
626
  wire             dbs_rdv_count_enable;
627
  wire             dbs_rdv_counter_overflow;
628
  wire    [  1: 0] latency_load_value;
629
  wire    [  1: 0] next_dbs_address;
630
  wire    [  1: 0] p1_cpu_0_data_master_latency_counter;
631
  wire    [ 15: 0] p1_dbs_latent_16_reg_segment_0;
632
  wire             pre_dbs_count_enable;
633
  wire             pre_flush_cpu_0_data_master_readdatavalid;
634
  wire             r_0;
635
  wire             r_1;
636
  //r_0 master_run cascaded wait assignment, which is an e_assign
637
  assign r_0 = 1 & (cpu_0_data_master_qualified_request_cpu_0_jtag_debug_module | ~cpu_0_data_master_requests_cpu_0_jtag_debug_module) & (cpu_0_data_master_granted_cpu_0_jtag_debug_module | ~cpu_0_data_master_qualified_request_cpu_0_jtag_debug_module) & ((~cpu_0_data_master_qualified_request_cpu_0_jtag_debug_module | ~cpu_0_data_master_read | (1 & ~d1_cpu_0_jtag_debug_module_end_xfer & cpu_0_data_master_read))) & ((~cpu_0_data_master_qualified_request_cpu_0_jtag_debug_module | ~cpu_0_data_master_write | (1 & cpu_0_data_master_write))) & 1 & (cpu_0_data_master_qualified_request_hibi_pe_dma_0_avalon_slave_0 | ~cpu_0_data_master_requests_hibi_pe_dma_0_avalon_slave_0) & ((~cpu_0_data_master_qualified_request_hibi_pe_dma_0_avalon_slave_0 | ~(cpu_0_data_master_read | cpu_0_data_master_write) | (1 & ~hibi_pe_dma_0_avalon_slave_0_waitrequest_from_sa & (cpu_0_data_master_read | cpu_0_data_master_write)))) & ((~cpu_0_data_master_qualified_request_hibi_pe_dma_0_avalon_slave_0 | ~(cpu_0_data_master_read | cpu_0_data_master_write) | (1 & ~hibi_pe_dma_0_avalon_slave_0_waitrequest_from_sa & (cpu_0_data_master_read | cpu_0_data_master_write)))) & 1 & (cpu_0_data_master_qualified_request_jtag_uart_0_avalon_jtag_slave | ~cpu_0_data_master_requests_jtag_uart_0_avalon_jtag_slave) & ((~cpu_0_data_master_qualified_request_jtag_uart_0_avalon_jtag_slave | ~(cpu_0_data_master_read | cpu_0_data_master_write) | (1 & ~jtag_uart_0_avalon_jtag_slave_waitrequest_from_sa & (cpu_0_data_master_read | cpu_0_data_master_write)))) & ((~cpu_0_data_master_qualified_request_jtag_uart_0_avalon_jtag_slave | ~(cpu_0_data_master_read | cpu_0_data_master_write) | (1 & ~jtag_uart_0_avalon_jtag_slave_waitrequest_from_sa & (cpu_0_data_master_read | cpu_0_data_master_write)))) & 1 & (cpu_0_data_master_qualified_request_onchip_memory_0_s2 | ~cpu_0_data_master_requests_onchip_memory_0_s2) & (cpu_0_data_master_granted_onchip_memory_0_s2 | ~cpu_0_data_master_qualified_request_onchip_memory_0_s2) & ((~cpu_0_data_master_qualified_request_onchip_memory_0_s2 | ~(cpu_0_data_master_read | cpu_0_data_master_write) | (1 & (cpu_0_data_master_read | cpu_0_data_master_write)))) & ((~cpu_0_data_master_qualified_request_onchip_memory_0_s2 | ~(cpu_0_data_master_read | cpu_0_data_master_write) | (1 & (cpu_0_data_master_read | cpu_0_data_master_write)))) & 1 & (cpu_0_data_master_qualified_request_sram_0_avalon_sram_slave | (cpu_0_data_master_write & !cpu_0_data_master_byteenable_sram_0_avalon_sram_slave & cpu_0_data_master_dbs_address[1]) | ~cpu_0_data_master_requests_sram_0_avalon_sram_slave);
638
 
639
  //cascaded wait assignment, which is an e_assign
640
  assign cpu_0_data_master_run = r_0 & r_1;
641
 
642
  //r_1 master_run cascaded wait assignment, which is an e_assign
643
  assign r_1 = (cpu_0_data_master_granted_sram_0_avalon_sram_slave | ~cpu_0_data_master_qualified_request_sram_0_avalon_sram_slave) & ((~cpu_0_data_master_qualified_request_sram_0_avalon_sram_slave | ~cpu_0_data_master_read | (1 & (cpu_0_data_master_dbs_address[1]) & cpu_0_data_master_read))) & ((~cpu_0_data_master_qualified_request_sram_0_avalon_sram_slave | ~cpu_0_data_master_write | (1 & (cpu_0_data_master_dbs_address[1]) & cpu_0_data_master_write))) & 1 & (cpu_0_data_master_qualified_request_sysid_control_slave | ~cpu_0_data_master_requests_sysid_control_slave) & ((~cpu_0_data_master_qualified_request_sysid_control_slave | ~cpu_0_data_master_read | (1 & ~d1_sysid_control_slave_end_xfer & cpu_0_data_master_read))) & ((~cpu_0_data_master_qualified_request_sysid_control_slave | ~cpu_0_data_master_write | (1 & cpu_0_data_master_write))) & 1 & (cpu_0_data_master_qualified_request_timer_0_s1 | ~cpu_0_data_master_requests_timer_0_s1) & ((~cpu_0_data_master_qualified_request_timer_0_s1 | ~cpu_0_data_master_read | (1 & ~d1_timer_0_s1_end_xfer & cpu_0_data_master_read))) & ((~cpu_0_data_master_qualified_request_timer_0_s1 | ~cpu_0_data_master_write | (1 & cpu_0_data_master_write)));
644
 
645
  //optimize select-logic by passing only those address bits which matter.
646
  assign cpu_0_data_master_address_to_slave = cpu_0_data_master_address[20 : 0];
647
 
648
  //cpu_0_data_master_read_but_no_slave_selected assignment, which is an e_register
649
  always @(posedge clk or negedge reset_n)
650
    begin
651
      if (reset_n == 0)
652
          cpu_0_data_master_read_but_no_slave_selected <= 0;
653
      else
654
        cpu_0_data_master_read_but_no_slave_selected <= cpu_0_data_master_read & cpu_0_data_master_run & ~cpu_0_data_master_is_granted_some_slave;
655
    end
656
 
657
 
658
  //some slave is getting selected, which is an e_mux
659
  assign cpu_0_data_master_is_granted_some_slave = cpu_0_data_master_granted_cpu_0_jtag_debug_module |
660
    cpu_0_data_master_granted_hibi_pe_dma_0_avalon_slave_0 |
661
    cpu_0_data_master_granted_jtag_uart_0_avalon_jtag_slave |
662
    cpu_0_data_master_granted_onchip_memory_0_s2 |
663
    cpu_0_data_master_granted_sram_0_avalon_sram_slave |
664
    cpu_0_data_master_granted_sysid_control_slave |
665
    cpu_0_data_master_granted_timer_0_s1;
666
 
667
  //latent slave read data valids which may be flushed, which is an e_mux
668
  assign pre_flush_cpu_0_data_master_readdatavalid = cpu_0_data_master_read_data_valid_onchip_memory_0_s2 |
669
    (cpu_0_data_master_read_data_valid_sram_0_avalon_sram_slave & dbs_rdv_counter_overflow);
670
 
671
  //latent slave read data valid which is not flushed, which is an e_mux
672
  assign cpu_0_data_master_readdatavalid = cpu_0_data_master_read_but_no_slave_selected |
673
    pre_flush_cpu_0_data_master_readdatavalid |
674
    cpu_0_data_master_read_data_valid_cpu_0_jtag_debug_module |
675
    cpu_0_data_master_read_but_no_slave_selected |
676
    pre_flush_cpu_0_data_master_readdatavalid |
677
    cpu_0_data_master_read_data_valid_hibi_pe_dma_0_avalon_slave_0 |
678
    cpu_0_data_master_read_but_no_slave_selected |
679
    pre_flush_cpu_0_data_master_readdatavalid |
680
    cpu_0_data_master_read_data_valid_jtag_uart_0_avalon_jtag_slave |
681
    cpu_0_data_master_read_but_no_slave_selected |
682
    pre_flush_cpu_0_data_master_readdatavalid |
683
    cpu_0_data_master_read_but_no_slave_selected |
684
    pre_flush_cpu_0_data_master_readdatavalid |
685
    cpu_0_data_master_read_but_no_slave_selected |
686
    pre_flush_cpu_0_data_master_readdatavalid |
687
    cpu_0_data_master_read_data_valid_sysid_control_slave |
688
    cpu_0_data_master_read_but_no_slave_selected |
689
    pre_flush_cpu_0_data_master_readdatavalid |
690
    cpu_0_data_master_read_data_valid_timer_0_s1;
691
 
692
  //cpu_0/data_master readdata mux, which is an e_mux
693
  assign cpu_0_data_master_readdata = ({32 {~(cpu_0_data_master_qualified_request_cpu_0_jtag_debug_module & cpu_0_data_master_read)}} | cpu_0_jtag_debug_module_readdata_from_sa) &
694
    ({32 {~(cpu_0_data_master_qualified_request_hibi_pe_dma_0_avalon_slave_0 & cpu_0_data_master_read)}} | hibi_pe_dma_0_avalon_slave_0_readdata_from_sa) &
695
    ({32 {~(cpu_0_data_master_qualified_request_jtag_uart_0_avalon_jtag_slave & cpu_0_data_master_read)}} | jtag_uart_0_avalon_jtag_slave_readdata_from_sa) &
696
    ({32 {~cpu_0_data_master_read_data_valid_onchip_memory_0_s2}} | onchip_memory_0_s2_readdata_from_sa) &
697
    ({32 {~cpu_0_data_master_read_data_valid_sram_0_avalon_sram_slave}} | {sram_0_avalon_sram_slave_readdata_from_sa[15 : 0],
698
    dbs_latent_16_reg_segment_0}) &
699
    ({32 {~(cpu_0_data_master_qualified_request_sysid_control_slave & cpu_0_data_master_read)}} | sysid_control_slave_readdata_from_sa) &
700
    ({32 {~(cpu_0_data_master_qualified_request_timer_0_s1 & cpu_0_data_master_read)}} | timer_0_s1_readdata_from_sa);
701
 
702
  //actual waitrequest port, which is an e_assign
703
  assign cpu_0_data_master_waitrequest = ~cpu_0_data_master_run;
704
 
705
  //latent max counter, which is an e_register
706
  always @(posedge clk or negedge reset_n)
707
    begin
708
      if (reset_n == 0)
709
          cpu_0_data_master_latency_counter <= 0;
710
      else
711
        cpu_0_data_master_latency_counter <= p1_cpu_0_data_master_latency_counter;
712
    end
713
 
714
 
715
  //latency counter load mux, which is an e_mux
716
  assign p1_cpu_0_data_master_latency_counter = ((cpu_0_data_master_run & cpu_0_data_master_read))? latency_load_value :
717
    (cpu_0_data_master_latency_counter)? cpu_0_data_master_latency_counter - 1 :
718
    0;
719
 
720
  //read latency load values, which is an e_mux
721
  assign latency_load_value = ({2 {cpu_0_data_master_requests_onchip_memory_0_s2}} & 1) |
722
    ({2 {cpu_0_data_master_requests_sram_0_avalon_sram_slave}} & 2);
723
 
724
  //irq assign, which is an e_assign
725
  assign cpu_0_data_master_irq = {1'b0,
726
    1'b0,
727
    1'b0,
728
    1'b0,
729
    1'b0,
730
    1'b0,
731
    1'b0,
732
    1'b0,
733
    1'b0,
734
    1'b0,
735
    1'b0,
736
    1'b0,
737
    1'b0,
738
    1'b0,
739
    1'b0,
740
    1'b0,
741
    1'b0,
742
    1'b0,
743
    1'b0,
744
    1'b0,
745
    1'b0,
746
    1'b0,
747
    1'b0,
748
    1'b0,
749
    1'b0,
750
    1'b0,
751
    1'b0,
752
    1'b0,
753
    1'b0,
754
    timer_0_s1_irq_from_sa,
755
    hibi_pe_dma_0_avalon_slave_0_irq_from_sa,
756
    jtag_uart_0_avalon_jtag_slave_irq_from_sa};
757
 
758
  //pre dbs count enable, which is an e_mux
759
  assign pre_dbs_count_enable = (((~0) & cpu_0_data_master_requests_sram_0_avalon_sram_slave & cpu_0_data_master_write & !cpu_0_data_master_byteenable_sram_0_avalon_sram_slave)) |
760
    (cpu_0_data_master_granted_sram_0_avalon_sram_slave & cpu_0_data_master_read & 1 & 1) |
761
    (cpu_0_data_master_granted_sram_0_avalon_sram_slave & cpu_0_data_master_write & 1 & 1);
762
 
763
  //input to latent dbs-16 stored 0, which is an e_mux
764
  assign p1_dbs_latent_16_reg_segment_0 = sram_0_avalon_sram_slave_readdata_from_sa;
765
 
766
  //dbs register for latent dbs-16 segment 0, which is an e_register
767
  always @(posedge clk or negedge reset_n)
768
    begin
769
      if (reset_n == 0)
770
          dbs_latent_16_reg_segment_0 <= 0;
771
      else if (dbs_rdv_count_enable & ((cpu_0_data_master_dbs_rdv_counter[1]) == 0))
772
          dbs_latent_16_reg_segment_0 <= p1_dbs_latent_16_reg_segment_0;
773
    end
774
 
775
 
776
  //mux write dbs 1, which is an e_mux
777
  assign cpu_0_data_master_dbs_write_16 = (cpu_0_data_master_dbs_address[1])? cpu_0_data_master_writedata[31 : 16] :
778
    cpu_0_data_master_writedata[15 : 0];
779
 
780
  //dbs count increment, which is an e_mux
781
  assign cpu_0_data_master_dbs_increment = (cpu_0_data_master_requests_sram_0_avalon_sram_slave)? 2 :
782
    0;
783
 
784
  //dbs counter overflow, which is an e_assign
785
  assign dbs_counter_overflow = cpu_0_data_master_dbs_address[1] & !(next_dbs_address[1]);
786
 
787
  //next master address, which is an e_assign
788
  assign next_dbs_address = cpu_0_data_master_dbs_address + cpu_0_data_master_dbs_increment;
789
 
790
  //dbs count enable, which is an e_mux
791
  assign dbs_count_enable = pre_dbs_count_enable;
792
 
793
  //dbs counter, which is an e_register
794
  always @(posedge clk or negedge reset_n)
795
    begin
796
      if (reset_n == 0)
797
          cpu_0_data_master_dbs_address <= 0;
798
      else if (dbs_count_enable)
799
          cpu_0_data_master_dbs_address <= next_dbs_address;
800
    end
801
 
802
 
803
  //p1 dbs rdv counter, which is an e_assign
804
  assign cpu_0_data_master_next_dbs_rdv_counter = cpu_0_data_master_dbs_rdv_counter + cpu_0_data_master_dbs_rdv_counter_inc;
805
 
806
  //cpu_0_data_master_rdv_inc_mux, which is an e_mux
807
  assign cpu_0_data_master_dbs_rdv_counter_inc = 2;
808
 
809
  //master any slave rdv, which is an e_mux
810
  assign dbs_rdv_count_enable = cpu_0_data_master_read_data_valid_sram_0_avalon_sram_slave;
811
 
812
  //dbs rdv counter, which is an e_register
813
  always @(posedge clk or negedge reset_n)
814
    begin
815
      if (reset_n == 0)
816
          cpu_0_data_master_dbs_rdv_counter <= 0;
817
      else if (dbs_rdv_count_enable)
818
          cpu_0_data_master_dbs_rdv_counter <= cpu_0_data_master_next_dbs_rdv_counter;
819
    end
820
 
821
 
822
  //dbs rdv counter overflow, which is an e_assign
823
  assign dbs_rdv_counter_overflow = cpu_0_data_master_dbs_rdv_counter[1] & ~cpu_0_data_master_next_dbs_rdv_counter[1];
824
 
825
 
826
//synthesis translate_off
827
//////////////// SIMULATION-ONLY CONTENTS
828
  //cpu_0_data_master_address check against wait, which is an e_register
829
  always @(posedge clk or negedge reset_n)
830
    begin
831
      if (reset_n == 0)
832
          cpu_0_data_master_address_last_time <= 0;
833
      else
834
        cpu_0_data_master_address_last_time <= cpu_0_data_master_address;
835
    end
836
 
837
 
838
  //cpu_0/data_master waited last time, which is an e_register
839
  always @(posedge clk or negedge reset_n)
840
    begin
841
      if (reset_n == 0)
842
          active_and_waiting_last_time <= 0;
843
      else
844
        active_and_waiting_last_time <= cpu_0_data_master_waitrequest & (cpu_0_data_master_read | cpu_0_data_master_write);
845
    end
846
 
847
 
848
  //cpu_0_data_master_address matches last port_name, which is an e_process
849
  always @(posedge clk)
850
    begin
851
      if (active_and_waiting_last_time & (cpu_0_data_master_address != cpu_0_data_master_address_last_time))
852
        begin
853
          $write("%0d ns: cpu_0_data_master_address did not heed wait!!!", $time);
854
          $stop;
855
        end
856
    end
857
 
858
 
859
  //cpu_0_data_master_byteenable check against wait, which is an e_register
860
  always @(posedge clk or negedge reset_n)
861
    begin
862
      if (reset_n == 0)
863
          cpu_0_data_master_byteenable_last_time <= 0;
864
      else
865
        cpu_0_data_master_byteenable_last_time <= cpu_0_data_master_byteenable;
866
    end
867
 
868
 
869
  //cpu_0_data_master_byteenable matches last port_name, which is an e_process
870
  always @(posedge clk)
871
    begin
872
      if (active_and_waiting_last_time & (cpu_0_data_master_byteenable != cpu_0_data_master_byteenable_last_time))
873
        begin
874
          $write("%0d ns: cpu_0_data_master_byteenable did not heed wait!!!", $time);
875
          $stop;
876
        end
877
    end
878
 
879
 
880
  //cpu_0_data_master_read check against wait, which is an e_register
881
  always @(posedge clk or negedge reset_n)
882
    begin
883
      if (reset_n == 0)
884
          cpu_0_data_master_read_last_time <= 0;
885
      else
886
        cpu_0_data_master_read_last_time <= cpu_0_data_master_read;
887
    end
888
 
889
 
890
  //cpu_0_data_master_read matches last port_name, which is an e_process
891
  always @(posedge clk)
892
    begin
893
      if (active_and_waiting_last_time & (cpu_0_data_master_read != cpu_0_data_master_read_last_time))
894
        begin
895
          $write("%0d ns: cpu_0_data_master_read did not heed wait!!!", $time);
896
          $stop;
897
        end
898
    end
899
 
900
 
901
  //cpu_0_data_master_write check against wait, which is an e_register
902
  always @(posedge clk or negedge reset_n)
903
    begin
904
      if (reset_n == 0)
905
          cpu_0_data_master_write_last_time <= 0;
906
      else
907
        cpu_0_data_master_write_last_time <= cpu_0_data_master_write;
908
    end
909
 
910
 
911
  //cpu_0_data_master_write matches last port_name, which is an e_process
912
  always @(posedge clk)
913
    begin
914
      if (active_and_waiting_last_time & (cpu_0_data_master_write != cpu_0_data_master_write_last_time))
915
        begin
916
          $write("%0d ns: cpu_0_data_master_write did not heed wait!!!", $time);
917
          $stop;
918
        end
919
    end
920
 
921
 
922
  //cpu_0_data_master_writedata check against wait, which is an e_register
923
  always @(posedge clk or negedge reset_n)
924
    begin
925
      if (reset_n == 0)
926
          cpu_0_data_master_writedata_last_time <= 0;
927
      else
928
        cpu_0_data_master_writedata_last_time <= cpu_0_data_master_writedata;
929
    end
930
 
931
 
932
  //cpu_0_data_master_writedata matches last port_name, which is an e_process
933
  always @(posedge clk)
934
    begin
935
      if (active_and_waiting_last_time & (cpu_0_data_master_writedata != cpu_0_data_master_writedata_last_time) & cpu_0_data_master_write)
936
        begin
937
          $write("%0d ns: cpu_0_data_master_writedata did not heed wait!!!", $time);
938
          $stop;
939
        end
940
    end
941
 
942
 
943
 
944
//////////////// END SIMULATION-ONLY CONTENTS
945
 
946
//synthesis translate_on
947
 
948
endmodule
949
 
950
 
951
// synthesis translate_off
952
`timescale 1ns / 1ps
953
// synthesis translate_on
954
 
955
// turn off superfluous verilog processor warnings 
956
// altera message_level Level1 
957
// altera message_off 10034 10035 10036 10037 10230 10240 10030 
958
 
959
module cpu_0_instruction_master_arbitrator (
960
                                             // inputs:
961
                                              clk,
962
                                              cpu_0_instruction_master_address,
963
                                              cpu_0_instruction_master_granted_cpu_0_jtag_debug_module,
964
                                              cpu_0_instruction_master_granted_onchip_memory_0_s2,
965
                                              cpu_0_instruction_master_granted_sram_0_avalon_sram_slave,
966
                                              cpu_0_instruction_master_qualified_request_cpu_0_jtag_debug_module,
967
                                              cpu_0_instruction_master_qualified_request_onchip_memory_0_s2,
968
                                              cpu_0_instruction_master_qualified_request_sram_0_avalon_sram_slave,
969
                                              cpu_0_instruction_master_read,
970
                                              cpu_0_instruction_master_read_data_valid_cpu_0_jtag_debug_module,
971
                                              cpu_0_instruction_master_read_data_valid_onchip_memory_0_s2,
972
                                              cpu_0_instruction_master_read_data_valid_sram_0_avalon_sram_slave,
973
                                              cpu_0_instruction_master_requests_cpu_0_jtag_debug_module,
974
                                              cpu_0_instruction_master_requests_onchip_memory_0_s2,
975
                                              cpu_0_instruction_master_requests_sram_0_avalon_sram_slave,
976
                                              cpu_0_jtag_debug_module_readdata_from_sa,
977
                                              d1_cpu_0_jtag_debug_module_end_xfer,
978
                                              d1_onchip_memory_0_s2_end_xfer,
979
                                              d1_sram_0_avalon_sram_slave_end_xfer,
980
                                              onchip_memory_0_s2_readdata_from_sa,
981
                                              reset_n,
982
                                              sram_0_avalon_sram_slave_readdata_from_sa,
983
 
984
                                             // outputs:
985
                                              cpu_0_instruction_master_address_to_slave,
986
                                              cpu_0_instruction_master_dbs_address,
987
                                              cpu_0_instruction_master_latency_counter,
988
                                              cpu_0_instruction_master_readdata,
989
                                              cpu_0_instruction_master_readdatavalid,
990
                                              cpu_0_instruction_master_waitrequest
991
                                           )
992
;
993
 
994
  output  [ 20: 0] cpu_0_instruction_master_address_to_slave;
995
  output  [  1: 0] cpu_0_instruction_master_dbs_address;
996
  output  [  1: 0] cpu_0_instruction_master_latency_counter;
997
  output  [ 31: 0] cpu_0_instruction_master_readdata;
998
  output           cpu_0_instruction_master_readdatavalid;
999
  output           cpu_0_instruction_master_waitrequest;
1000
  input            clk;
1001
  input   [ 20: 0] cpu_0_instruction_master_address;
1002
  input            cpu_0_instruction_master_granted_cpu_0_jtag_debug_module;
1003
  input            cpu_0_instruction_master_granted_onchip_memory_0_s2;
1004
  input            cpu_0_instruction_master_granted_sram_0_avalon_sram_slave;
1005
  input            cpu_0_instruction_master_qualified_request_cpu_0_jtag_debug_module;
1006
  input            cpu_0_instruction_master_qualified_request_onchip_memory_0_s2;
1007
  input            cpu_0_instruction_master_qualified_request_sram_0_avalon_sram_slave;
1008
  input            cpu_0_instruction_master_read;
1009
  input            cpu_0_instruction_master_read_data_valid_cpu_0_jtag_debug_module;
1010
  input            cpu_0_instruction_master_read_data_valid_onchip_memory_0_s2;
1011
  input            cpu_0_instruction_master_read_data_valid_sram_0_avalon_sram_slave;
1012
  input            cpu_0_instruction_master_requests_cpu_0_jtag_debug_module;
1013
  input            cpu_0_instruction_master_requests_onchip_memory_0_s2;
1014
  input            cpu_0_instruction_master_requests_sram_0_avalon_sram_slave;
1015
  input   [ 31: 0] cpu_0_jtag_debug_module_readdata_from_sa;
1016
  input            d1_cpu_0_jtag_debug_module_end_xfer;
1017
  input            d1_onchip_memory_0_s2_end_xfer;
1018
  input            d1_sram_0_avalon_sram_slave_end_xfer;
1019
  input   [ 31: 0] onchip_memory_0_s2_readdata_from_sa;
1020
  input            reset_n;
1021
  input   [ 15: 0] sram_0_avalon_sram_slave_readdata_from_sa;
1022
 
1023
  reg              active_and_waiting_last_time;
1024
  reg     [ 20: 0] cpu_0_instruction_master_address_last_time;
1025
  wire    [ 20: 0] cpu_0_instruction_master_address_to_slave;
1026
  reg     [  1: 0] cpu_0_instruction_master_dbs_address;
1027
  wire    [  1: 0] cpu_0_instruction_master_dbs_increment;
1028
  reg     [  1: 0] cpu_0_instruction_master_dbs_rdv_counter;
1029
  wire    [  1: 0] cpu_0_instruction_master_dbs_rdv_counter_inc;
1030
  wire             cpu_0_instruction_master_is_granted_some_slave;
1031
  reg     [  1: 0] cpu_0_instruction_master_latency_counter;
1032
  wire    [  1: 0] cpu_0_instruction_master_next_dbs_rdv_counter;
1033
  reg              cpu_0_instruction_master_read_but_no_slave_selected;
1034
  reg              cpu_0_instruction_master_read_last_time;
1035
  wire    [ 31: 0] cpu_0_instruction_master_readdata;
1036
  wire             cpu_0_instruction_master_readdatavalid;
1037
  wire             cpu_0_instruction_master_run;
1038
  wire             cpu_0_instruction_master_waitrequest;
1039
  wire             dbs_count_enable;
1040
  wire             dbs_counter_overflow;
1041
  reg     [ 15: 0] dbs_latent_16_reg_segment_0;
1042
  wire             dbs_rdv_count_enable;
1043
  wire             dbs_rdv_counter_overflow;
1044
  wire    [  1: 0] latency_load_value;
1045
  wire    [  1: 0] next_dbs_address;
1046
  wire    [  1: 0] p1_cpu_0_instruction_master_latency_counter;
1047
  wire    [ 15: 0] p1_dbs_latent_16_reg_segment_0;
1048
  wire             pre_dbs_count_enable;
1049
  wire             pre_flush_cpu_0_instruction_master_readdatavalid;
1050
  wire             r_0;
1051
  wire             r_1;
1052
  //r_0 master_run cascaded wait assignment, which is an e_assign
1053
  assign r_0 = 1 & (cpu_0_instruction_master_qualified_request_cpu_0_jtag_debug_module | ~cpu_0_instruction_master_requests_cpu_0_jtag_debug_module) & (cpu_0_instruction_master_granted_cpu_0_jtag_debug_module | ~cpu_0_instruction_master_qualified_request_cpu_0_jtag_debug_module) & ((~cpu_0_instruction_master_qualified_request_cpu_0_jtag_debug_module | ~cpu_0_instruction_master_read | (1 & ~d1_cpu_0_jtag_debug_module_end_xfer & cpu_0_instruction_master_read))) & 1 & (cpu_0_instruction_master_qualified_request_onchip_memory_0_s2 | ~cpu_0_instruction_master_requests_onchip_memory_0_s2) & (cpu_0_instruction_master_granted_onchip_memory_0_s2 | ~cpu_0_instruction_master_qualified_request_onchip_memory_0_s2) & ((~cpu_0_instruction_master_qualified_request_onchip_memory_0_s2 | ~(cpu_0_instruction_master_read) | (1 & (cpu_0_instruction_master_read))));
1054
 
1055
  //cascaded wait assignment, which is an e_assign
1056
  assign cpu_0_instruction_master_run = r_0 & r_1;
1057
 
1058
  //r_1 master_run cascaded wait assignment, which is an e_assign
1059
  assign r_1 = 1 & (cpu_0_instruction_master_qualified_request_sram_0_avalon_sram_slave | ~cpu_0_instruction_master_requests_sram_0_avalon_sram_slave) & (cpu_0_instruction_master_granted_sram_0_avalon_sram_slave | ~cpu_0_instruction_master_qualified_request_sram_0_avalon_sram_slave) & ((~cpu_0_instruction_master_qualified_request_sram_0_avalon_sram_slave | ~cpu_0_instruction_master_read | (1 & (cpu_0_instruction_master_dbs_address[1]) & cpu_0_instruction_master_read)));
1060
 
1061
  //optimize select-logic by passing only those address bits which matter.
1062
  assign cpu_0_instruction_master_address_to_slave = cpu_0_instruction_master_address[20 : 0];
1063
 
1064
  //cpu_0_instruction_master_read_but_no_slave_selected assignment, which is an e_register
1065
  always @(posedge clk or negedge reset_n)
1066
    begin
1067
      if (reset_n == 0)
1068
          cpu_0_instruction_master_read_but_no_slave_selected <= 0;
1069
      else
1070
        cpu_0_instruction_master_read_but_no_slave_selected <= cpu_0_instruction_master_read & cpu_0_instruction_master_run & ~cpu_0_instruction_master_is_granted_some_slave;
1071
    end
1072
 
1073
 
1074
  //some slave is getting selected, which is an e_mux
1075
  assign cpu_0_instruction_master_is_granted_some_slave = cpu_0_instruction_master_granted_cpu_0_jtag_debug_module |
1076
    cpu_0_instruction_master_granted_onchip_memory_0_s2 |
1077
    cpu_0_instruction_master_granted_sram_0_avalon_sram_slave;
1078
 
1079
  //latent slave read data valids which may be flushed, which is an e_mux
1080
  assign pre_flush_cpu_0_instruction_master_readdatavalid = cpu_0_instruction_master_read_data_valid_onchip_memory_0_s2 |
1081
    (cpu_0_instruction_master_read_data_valid_sram_0_avalon_sram_slave & dbs_rdv_counter_overflow);
1082
 
1083
  //latent slave read data valid which is not flushed, which is an e_mux
1084
  assign cpu_0_instruction_master_readdatavalid = cpu_0_instruction_master_read_but_no_slave_selected |
1085
    pre_flush_cpu_0_instruction_master_readdatavalid |
1086
    cpu_0_instruction_master_read_data_valid_cpu_0_jtag_debug_module |
1087
    cpu_0_instruction_master_read_but_no_slave_selected |
1088
    pre_flush_cpu_0_instruction_master_readdatavalid |
1089
    cpu_0_instruction_master_read_but_no_slave_selected |
1090
    pre_flush_cpu_0_instruction_master_readdatavalid;
1091
 
1092
  //cpu_0/instruction_master readdata mux, which is an e_mux
1093
  assign cpu_0_instruction_master_readdata = ({32 {~(cpu_0_instruction_master_qualified_request_cpu_0_jtag_debug_module & cpu_0_instruction_master_read)}} | cpu_0_jtag_debug_module_readdata_from_sa) &
1094
    ({32 {~cpu_0_instruction_master_read_data_valid_onchip_memory_0_s2}} | onchip_memory_0_s2_readdata_from_sa) &
1095
    ({32 {~cpu_0_instruction_master_read_data_valid_sram_0_avalon_sram_slave}} | {sram_0_avalon_sram_slave_readdata_from_sa[15 : 0],
1096
    dbs_latent_16_reg_segment_0});
1097
 
1098
  //actual waitrequest port, which is an e_assign
1099
  assign cpu_0_instruction_master_waitrequest = ~cpu_0_instruction_master_run;
1100
 
1101
  //latent max counter, which is an e_register
1102
  always @(posedge clk or negedge reset_n)
1103
    begin
1104
      if (reset_n == 0)
1105
          cpu_0_instruction_master_latency_counter <= 0;
1106
      else
1107
        cpu_0_instruction_master_latency_counter <= p1_cpu_0_instruction_master_latency_counter;
1108
    end
1109
 
1110
 
1111
  //latency counter load mux, which is an e_mux
1112
  assign p1_cpu_0_instruction_master_latency_counter = ((cpu_0_instruction_master_run & cpu_0_instruction_master_read))? latency_load_value :
1113
    (cpu_0_instruction_master_latency_counter)? cpu_0_instruction_master_latency_counter - 1 :
1114
    0;
1115
 
1116
  //read latency load values, which is an e_mux
1117
  assign latency_load_value = ({2 {cpu_0_instruction_master_requests_onchip_memory_0_s2}} & 1) |
1118
    ({2 {cpu_0_instruction_master_requests_sram_0_avalon_sram_slave}} & 2);
1119
 
1120
  //input to latent dbs-16 stored 0, which is an e_mux
1121
  assign p1_dbs_latent_16_reg_segment_0 = sram_0_avalon_sram_slave_readdata_from_sa;
1122
 
1123
  //dbs register for latent dbs-16 segment 0, which is an e_register
1124
  always @(posedge clk or negedge reset_n)
1125
    begin
1126
      if (reset_n == 0)
1127
          dbs_latent_16_reg_segment_0 <= 0;
1128
      else if (dbs_rdv_count_enable & ((cpu_0_instruction_master_dbs_rdv_counter[1]) == 0))
1129
          dbs_latent_16_reg_segment_0 <= p1_dbs_latent_16_reg_segment_0;
1130
    end
1131
 
1132
 
1133
  //dbs count increment, which is an e_mux
1134
  assign cpu_0_instruction_master_dbs_increment = (cpu_0_instruction_master_requests_sram_0_avalon_sram_slave)? 2 :
1135
    0;
1136
 
1137
  //dbs counter overflow, which is an e_assign
1138
  assign dbs_counter_overflow = cpu_0_instruction_master_dbs_address[1] & !(next_dbs_address[1]);
1139
 
1140
  //next master address, which is an e_assign
1141
  assign next_dbs_address = cpu_0_instruction_master_dbs_address + cpu_0_instruction_master_dbs_increment;
1142
 
1143
  //dbs count enable, which is an e_mux
1144
  assign dbs_count_enable = pre_dbs_count_enable;
1145
 
1146
  //dbs counter, which is an e_register
1147
  always @(posedge clk or negedge reset_n)
1148
    begin
1149
      if (reset_n == 0)
1150
          cpu_0_instruction_master_dbs_address <= 0;
1151
      else if (dbs_count_enable)
1152
          cpu_0_instruction_master_dbs_address <= next_dbs_address;
1153
    end
1154
 
1155
 
1156
  //p1 dbs rdv counter, which is an e_assign
1157
  assign cpu_0_instruction_master_next_dbs_rdv_counter = cpu_0_instruction_master_dbs_rdv_counter + cpu_0_instruction_master_dbs_rdv_counter_inc;
1158
 
1159
  //cpu_0_instruction_master_rdv_inc_mux, which is an e_mux
1160
  assign cpu_0_instruction_master_dbs_rdv_counter_inc = 2;
1161
 
1162
  //master any slave rdv, which is an e_mux
1163
  assign dbs_rdv_count_enable = cpu_0_instruction_master_read_data_valid_sram_0_avalon_sram_slave;
1164
 
1165
  //dbs rdv counter, which is an e_register
1166
  always @(posedge clk or negedge reset_n)
1167
    begin
1168
      if (reset_n == 0)
1169
          cpu_0_instruction_master_dbs_rdv_counter <= 0;
1170
      else if (dbs_rdv_count_enable)
1171
          cpu_0_instruction_master_dbs_rdv_counter <= cpu_0_instruction_master_next_dbs_rdv_counter;
1172
    end
1173
 
1174
 
1175
  //dbs rdv counter overflow, which is an e_assign
1176
  assign dbs_rdv_counter_overflow = cpu_0_instruction_master_dbs_rdv_counter[1] & ~cpu_0_instruction_master_next_dbs_rdv_counter[1];
1177
 
1178
  //pre dbs count enable, which is an e_mux
1179
  assign pre_dbs_count_enable = cpu_0_instruction_master_granted_sram_0_avalon_sram_slave & cpu_0_instruction_master_read & 1 & 1;
1180
 
1181
 
1182
//synthesis translate_off
1183
//////////////// SIMULATION-ONLY CONTENTS
1184
  //cpu_0_instruction_master_address check against wait, which is an e_register
1185
  always @(posedge clk or negedge reset_n)
1186
    begin
1187
      if (reset_n == 0)
1188
          cpu_0_instruction_master_address_last_time <= 0;
1189
      else
1190
        cpu_0_instruction_master_address_last_time <= cpu_0_instruction_master_address;
1191
    end
1192
 
1193
 
1194
  //cpu_0/instruction_master waited last time, which is an e_register
1195
  always @(posedge clk or negedge reset_n)
1196
    begin
1197
      if (reset_n == 0)
1198
          active_and_waiting_last_time <= 0;
1199
      else
1200
        active_and_waiting_last_time <= cpu_0_instruction_master_waitrequest & (cpu_0_instruction_master_read);
1201
    end
1202
 
1203
 
1204
  //cpu_0_instruction_master_address matches last port_name, which is an e_process
1205
  always @(posedge clk)
1206
    begin
1207
      if (active_and_waiting_last_time & (cpu_0_instruction_master_address != cpu_0_instruction_master_address_last_time))
1208
        begin
1209
          $write("%0d ns: cpu_0_instruction_master_address did not heed wait!!!", $time);
1210
          $stop;
1211
        end
1212
    end
1213
 
1214
 
1215
  //cpu_0_instruction_master_read check against wait, which is an e_register
1216
  always @(posedge clk or negedge reset_n)
1217
    begin
1218
      if (reset_n == 0)
1219
          cpu_0_instruction_master_read_last_time <= 0;
1220
      else
1221
        cpu_0_instruction_master_read_last_time <= cpu_0_instruction_master_read;
1222
    end
1223
 
1224
 
1225
  //cpu_0_instruction_master_read matches last port_name, which is an e_process
1226
  always @(posedge clk)
1227
    begin
1228
      if (active_and_waiting_last_time & (cpu_0_instruction_master_read != cpu_0_instruction_master_read_last_time))
1229
        begin
1230
          $write("%0d ns: cpu_0_instruction_master_read did not heed wait!!!", $time);
1231
          $stop;
1232
        end
1233
    end
1234
 
1235
 
1236
 
1237
//////////////// END SIMULATION-ONLY CONTENTS
1238
 
1239
//synthesis translate_on
1240
 
1241
endmodule
1242
 
1243
 
1244
// synthesis translate_off
1245
`timescale 1ns / 1ps
1246
// synthesis translate_on
1247
 
1248
// turn off superfluous verilog processor warnings 
1249
// altera message_level Level1 
1250
// altera message_off 10034 10035 10036 10037 10230 10240 10030 
1251
 
1252
module hibi_pe_dma_0_avalon_slave_0_arbitrator (
1253
                                                 // inputs:
1254
                                                  clk,
1255
                                                  cpu_0_data_master_address_to_slave,
1256
                                                  cpu_0_data_master_latency_counter,
1257
                                                  cpu_0_data_master_read,
1258
                                                  cpu_0_data_master_write,
1259
                                                  cpu_0_data_master_writedata,
1260
                                                  hibi_pe_dma_0_avalon_slave_0_irq,
1261
                                                  hibi_pe_dma_0_avalon_slave_0_readdata,
1262
                                                  hibi_pe_dma_0_avalon_slave_0_waitrequest,
1263
                                                  reset_n,
1264
 
1265
                                                 // outputs:
1266
                                                  cpu_0_data_master_granted_hibi_pe_dma_0_avalon_slave_0,
1267
                                                  cpu_0_data_master_qualified_request_hibi_pe_dma_0_avalon_slave_0,
1268
                                                  cpu_0_data_master_read_data_valid_hibi_pe_dma_0_avalon_slave_0,
1269
                                                  cpu_0_data_master_requests_hibi_pe_dma_0_avalon_slave_0,
1270
                                                  d1_hibi_pe_dma_0_avalon_slave_0_end_xfer,
1271
                                                  hibi_pe_dma_0_avalon_slave_0_address,
1272
                                                  hibi_pe_dma_0_avalon_slave_0_chipselect,
1273
                                                  hibi_pe_dma_0_avalon_slave_0_irq_from_sa,
1274
                                                  hibi_pe_dma_0_avalon_slave_0_read,
1275
                                                  hibi_pe_dma_0_avalon_slave_0_readdata_from_sa,
1276
                                                  hibi_pe_dma_0_avalon_slave_0_reset_n,
1277
                                                  hibi_pe_dma_0_avalon_slave_0_waitrequest_from_sa,
1278
                                                  hibi_pe_dma_0_avalon_slave_0_write,
1279
                                                  hibi_pe_dma_0_avalon_slave_0_writedata
1280
                                               )
1281
;
1282
 
1283
  output           cpu_0_data_master_granted_hibi_pe_dma_0_avalon_slave_0;
1284
  output           cpu_0_data_master_qualified_request_hibi_pe_dma_0_avalon_slave_0;
1285
  output           cpu_0_data_master_read_data_valid_hibi_pe_dma_0_avalon_slave_0;
1286
  output           cpu_0_data_master_requests_hibi_pe_dma_0_avalon_slave_0;
1287
  output           d1_hibi_pe_dma_0_avalon_slave_0_end_xfer;
1288
  output  [  6: 0] hibi_pe_dma_0_avalon_slave_0_address;
1289
  output           hibi_pe_dma_0_avalon_slave_0_chipselect;
1290
  output           hibi_pe_dma_0_avalon_slave_0_irq_from_sa;
1291
  output           hibi_pe_dma_0_avalon_slave_0_read;
1292
  output  [ 31: 0] hibi_pe_dma_0_avalon_slave_0_readdata_from_sa;
1293
  output           hibi_pe_dma_0_avalon_slave_0_reset_n;
1294
  output           hibi_pe_dma_0_avalon_slave_0_waitrequest_from_sa;
1295
  output           hibi_pe_dma_0_avalon_slave_0_write;
1296
  output  [ 31: 0] hibi_pe_dma_0_avalon_slave_0_writedata;
1297
  input            clk;
1298
  input   [ 20: 0] cpu_0_data_master_address_to_slave;
1299
  input   [  1: 0] cpu_0_data_master_latency_counter;
1300
  input            cpu_0_data_master_read;
1301
  input            cpu_0_data_master_write;
1302
  input   [ 31: 0] cpu_0_data_master_writedata;
1303
  input            hibi_pe_dma_0_avalon_slave_0_irq;
1304
  input   [ 31: 0] hibi_pe_dma_0_avalon_slave_0_readdata;
1305
  input            hibi_pe_dma_0_avalon_slave_0_waitrequest;
1306
  input            reset_n;
1307
 
1308
  wire             cpu_0_data_master_arbiterlock;
1309
  wire             cpu_0_data_master_arbiterlock2;
1310
  wire             cpu_0_data_master_continuerequest;
1311
  wire             cpu_0_data_master_granted_hibi_pe_dma_0_avalon_slave_0;
1312
  wire             cpu_0_data_master_qualified_request_hibi_pe_dma_0_avalon_slave_0;
1313
  wire             cpu_0_data_master_read_data_valid_hibi_pe_dma_0_avalon_slave_0;
1314
  wire             cpu_0_data_master_requests_hibi_pe_dma_0_avalon_slave_0;
1315
  wire             cpu_0_data_master_saved_grant_hibi_pe_dma_0_avalon_slave_0;
1316
  reg              d1_hibi_pe_dma_0_avalon_slave_0_end_xfer;
1317
  reg              d1_reasons_to_wait;
1318
  reg              enable_nonzero_assertions;
1319
  wire             end_xfer_arb_share_counter_term_hibi_pe_dma_0_avalon_slave_0;
1320
  wire    [  6: 0] hibi_pe_dma_0_avalon_slave_0_address;
1321
  wire             hibi_pe_dma_0_avalon_slave_0_allgrants;
1322
  wire             hibi_pe_dma_0_avalon_slave_0_allow_new_arb_cycle;
1323
  wire             hibi_pe_dma_0_avalon_slave_0_any_bursting_master_saved_grant;
1324
  wire             hibi_pe_dma_0_avalon_slave_0_any_continuerequest;
1325
  wire             hibi_pe_dma_0_avalon_slave_0_arb_counter_enable;
1326
  reg     [  1: 0] hibi_pe_dma_0_avalon_slave_0_arb_share_counter;
1327
  wire    [  1: 0] hibi_pe_dma_0_avalon_slave_0_arb_share_counter_next_value;
1328
  wire    [  1: 0] hibi_pe_dma_0_avalon_slave_0_arb_share_set_values;
1329
  wire             hibi_pe_dma_0_avalon_slave_0_beginbursttransfer_internal;
1330
  wire             hibi_pe_dma_0_avalon_slave_0_begins_xfer;
1331
  wire             hibi_pe_dma_0_avalon_slave_0_chipselect;
1332
  wire             hibi_pe_dma_0_avalon_slave_0_end_xfer;
1333
  wire             hibi_pe_dma_0_avalon_slave_0_firsttransfer;
1334
  wire             hibi_pe_dma_0_avalon_slave_0_grant_vector;
1335
  wire             hibi_pe_dma_0_avalon_slave_0_in_a_read_cycle;
1336
  wire             hibi_pe_dma_0_avalon_slave_0_in_a_write_cycle;
1337
  wire             hibi_pe_dma_0_avalon_slave_0_irq_from_sa;
1338
  wire             hibi_pe_dma_0_avalon_slave_0_master_qreq_vector;
1339
  wire             hibi_pe_dma_0_avalon_slave_0_non_bursting_master_requests;
1340
  wire             hibi_pe_dma_0_avalon_slave_0_read;
1341
  wire    [ 31: 0] hibi_pe_dma_0_avalon_slave_0_readdata_from_sa;
1342
  reg              hibi_pe_dma_0_avalon_slave_0_reg_firsttransfer;
1343
  wire             hibi_pe_dma_0_avalon_slave_0_reset_n;
1344
  reg              hibi_pe_dma_0_avalon_slave_0_slavearbiterlockenable;
1345
  wire             hibi_pe_dma_0_avalon_slave_0_slavearbiterlockenable2;
1346
  wire             hibi_pe_dma_0_avalon_slave_0_unreg_firsttransfer;
1347
  wire             hibi_pe_dma_0_avalon_slave_0_waitrequest_from_sa;
1348
  wire             hibi_pe_dma_0_avalon_slave_0_waits_for_read;
1349
  wire             hibi_pe_dma_0_avalon_slave_0_waits_for_write;
1350
  wire             hibi_pe_dma_0_avalon_slave_0_write;
1351
  wire    [ 31: 0] hibi_pe_dma_0_avalon_slave_0_writedata;
1352
  wire             in_a_read_cycle;
1353
  wire             in_a_write_cycle;
1354
  wire    [ 20: 0] shifted_address_to_hibi_pe_dma_0_avalon_slave_0_from_cpu_0_data_master;
1355
  wire             wait_for_hibi_pe_dma_0_avalon_slave_0_counter;
1356
  always @(posedge clk or negedge reset_n)
1357
    begin
1358
      if (reset_n == 0)
1359
          d1_reasons_to_wait <= 0;
1360
      else
1361
        d1_reasons_to_wait <= ~hibi_pe_dma_0_avalon_slave_0_end_xfer;
1362
    end
1363
 
1364
 
1365
  assign hibi_pe_dma_0_avalon_slave_0_begins_xfer = ~d1_reasons_to_wait & ((cpu_0_data_master_qualified_request_hibi_pe_dma_0_avalon_slave_0));
1366
  //assign hibi_pe_dma_0_avalon_slave_0_readdata_from_sa = hibi_pe_dma_0_avalon_slave_0_readdata so that symbol knows where to group signals which may go to master only, which is an e_assign
1367
  assign hibi_pe_dma_0_avalon_slave_0_readdata_from_sa = hibi_pe_dma_0_avalon_slave_0_readdata;
1368
 
1369
  assign cpu_0_data_master_requests_hibi_pe_dma_0_avalon_slave_0 = ({cpu_0_data_master_address_to_slave[20 : 9] , 9'b0} == 21'h101c00) & (cpu_0_data_master_read | cpu_0_data_master_write);
1370
  //assign hibi_pe_dma_0_avalon_slave_0_waitrequest_from_sa = hibi_pe_dma_0_avalon_slave_0_waitrequest so that symbol knows where to group signals which may go to master only, which is an e_assign
1371
  assign hibi_pe_dma_0_avalon_slave_0_waitrequest_from_sa = hibi_pe_dma_0_avalon_slave_0_waitrequest;
1372
 
1373
  //hibi_pe_dma_0_avalon_slave_0_arb_share_counter set values, which is an e_mux
1374
  assign hibi_pe_dma_0_avalon_slave_0_arb_share_set_values = 1;
1375
 
1376
  //hibi_pe_dma_0_avalon_slave_0_non_bursting_master_requests mux, which is an e_mux
1377
  assign hibi_pe_dma_0_avalon_slave_0_non_bursting_master_requests = cpu_0_data_master_requests_hibi_pe_dma_0_avalon_slave_0;
1378
 
1379
  //hibi_pe_dma_0_avalon_slave_0_any_bursting_master_saved_grant mux, which is an e_mux
1380
  assign hibi_pe_dma_0_avalon_slave_0_any_bursting_master_saved_grant = 0;
1381
 
1382
  //hibi_pe_dma_0_avalon_slave_0_arb_share_counter_next_value assignment, which is an e_assign
1383
  assign hibi_pe_dma_0_avalon_slave_0_arb_share_counter_next_value = hibi_pe_dma_0_avalon_slave_0_firsttransfer ? (hibi_pe_dma_0_avalon_slave_0_arb_share_set_values - 1) : |hibi_pe_dma_0_avalon_slave_0_arb_share_counter ? (hibi_pe_dma_0_avalon_slave_0_arb_share_counter - 1) : 0;
1384
 
1385
  //hibi_pe_dma_0_avalon_slave_0_allgrants all slave grants, which is an e_mux
1386
  assign hibi_pe_dma_0_avalon_slave_0_allgrants = |hibi_pe_dma_0_avalon_slave_0_grant_vector;
1387
 
1388
  //hibi_pe_dma_0_avalon_slave_0_end_xfer assignment, which is an e_assign
1389
  assign hibi_pe_dma_0_avalon_slave_0_end_xfer = ~(hibi_pe_dma_0_avalon_slave_0_waits_for_read | hibi_pe_dma_0_avalon_slave_0_waits_for_write);
1390
 
1391
  //end_xfer_arb_share_counter_term_hibi_pe_dma_0_avalon_slave_0 arb share counter enable term, which is an e_assign
1392
  assign end_xfer_arb_share_counter_term_hibi_pe_dma_0_avalon_slave_0 = hibi_pe_dma_0_avalon_slave_0_end_xfer & (~hibi_pe_dma_0_avalon_slave_0_any_bursting_master_saved_grant | in_a_read_cycle | in_a_write_cycle);
1393
 
1394
  //hibi_pe_dma_0_avalon_slave_0_arb_share_counter arbitration counter enable, which is an e_assign
1395
  assign hibi_pe_dma_0_avalon_slave_0_arb_counter_enable = (end_xfer_arb_share_counter_term_hibi_pe_dma_0_avalon_slave_0 & hibi_pe_dma_0_avalon_slave_0_allgrants) | (end_xfer_arb_share_counter_term_hibi_pe_dma_0_avalon_slave_0 & ~hibi_pe_dma_0_avalon_slave_0_non_bursting_master_requests);
1396
 
1397
  //hibi_pe_dma_0_avalon_slave_0_arb_share_counter counter, which is an e_register
1398
  always @(posedge clk or negedge reset_n)
1399
    begin
1400
      if (reset_n == 0)
1401
          hibi_pe_dma_0_avalon_slave_0_arb_share_counter <= 0;
1402
      else if (hibi_pe_dma_0_avalon_slave_0_arb_counter_enable)
1403
          hibi_pe_dma_0_avalon_slave_0_arb_share_counter <= hibi_pe_dma_0_avalon_slave_0_arb_share_counter_next_value;
1404
    end
1405
 
1406
 
1407
  //hibi_pe_dma_0_avalon_slave_0_slavearbiterlockenable slave enables arbiterlock, which is an e_register
1408
  always @(posedge clk or negedge reset_n)
1409
    begin
1410
      if (reset_n == 0)
1411
          hibi_pe_dma_0_avalon_slave_0_slavearbiterlockenable <= 0;
1412
      else if ((|hibi_pe_dma_0_avalon_slave_0_master_qreq_vector & end_xfer_arb_share_counter_term_hibi_pe_dma_0_avalon_slave_0) | (end_xfer_arb_share_counter_term_hibi_pe_dma_0_avalon_slave_0 & ~hibi_pe_dma_0_avalon_slave_0_non_bursting_master_requests))
1413
          hibi_pe_dma_0_avalon_slave_0_slavearbiterlockenable <= |hibi_pe_dma_0_avalon_slave_0_arb_share_counter_next_value;
1414
    end
1415
 
1416
 
1417
  //cpu_0/data_master hibi_pe_dma_0/avalon_slave_0 arbiterlock, which is an e_assign
1418
  assign cpu_0_data_master_arbiterlock = hibi_pe_dma_0_avalon_slave_0_slavearbiterlockenable & cpu_0_data_master_continuerequest;
1419
 
1420
  //hibi_pe_dma_0_avalon_slave_0_slavearbiterlockenable2 slave enables arbiterlock2, which is an e_assign
1421
  assign hibi_pe_dma_0_avalon_slave_0_slavearbiterlockenable2 = |hibi_pe_dma_0_avalon_slave_0_arb_share_counter_next_value;
1422
 
1423
  //cpu_0/data_master hibi_pe_dma_0/avalon_slave_0 arbiterlock2, which is an e_assign
1424
  assign cpu_0_data_master_arbiterlock2 = hibi_pe_dma_0_avalon_slave_0_slavearbiterlockenable2 & cpu_0_data_master_continuerequest;
1425
 
1426
  //hibi_pe_dma_0_avalon_slave_0_any_continuerequest at least one master continues requesting, which is an e_assign
1427
  assign hibi_pe_dma_0_avalon_slave_0_any_continuerequest = 1;
1428
 
1429
  //cpu_0_data_master_continuerequest continued request, which is an e_assign
1430
  assign cpu_0_data_master_continuerequest = 1;
1431
 
1432
  assign cpu_0_data_master_qualified_request_hibi_pe_dma_0_avalon_slave_0 = cpu_0_data_master_requests_hibi_pe_dma_0_avalon_slave_0 & ~((cpu_0_data_master_read & ((cpu_0_data_master_latency_counter != 0))));
1433
  //local readdatavalid cpu_0_data_master_read_data_valid_hibi_pe_dma_0_avalon_slave_0, which is an e_mux
1434
  assign cpu_0_data_master_read_data_valid_hibi_pe_dma_0_avalon_slave_0 = cpu_0_data_master_granted_hibi_pe_dma_0_avalon_slave_0 & cpu_0_data_master_read & ~hibi_pe_dma_0_avalon_slave_0_waits_for_read;
1435
 
1436
  //hibi_pe_dma_0_avalon_slave_0_writedata mux, which is an e_mux
1437
  assign hibi_pe_dma_0_avalon_slave_0_writedata = cpu_0_data_master_writedata;
1438
 
1439
  //master is always granted when requested
1440
  assign cpu_0_data_master_granted_hibi_pe_dma_0_avalon_slave_0 = cpu_0_data_master_qualified_request_hibi_pe_dma_0_avalon_slave_0;
1441
 
1442
  //cpu_0/data_master saved-grant hibi_pe_dma_0/avalon_slave_0, which is an e_assign
1443
  assign cpu_0_data_master_saved_grant_hibi_pe_dma_0_avalon_slave_0 = cpu_0_data_master_requests_hibi_pe_dma_0_avalon_slave_0;
1444
 
1445
  //allow new arb cycle for hibi_pe_dma_0/avalon_slave_0, which is an e_assign
1446
  assign hibi_pe_dma_0_avalon_slave_0_allow_new_arb_cycle = 1;
1447
 
1448
  //placeholder chosen master
1449
  assign hibi_pe_dma_0_avalon_slave_0_grant_vector = 1;
1450
 
1451
  //placeholder vector of master qualified-requests
1452
  assign hibi_pe_dma_0_avalon_slave_0_master_qreq_vector = 1;
1453
 
1454
  //hibi_pe_dma_0_avalon_slave_0_reset_n assignment, which is an e_assign
1455
  assign hibi_pe_dma_0_avalon_slave_0_reset_n = reset_n;
1456
 
1457
  assign hibi_pe_dma_0_avalon_slave_0_chipselect = cpu_0_data_master_granted_hibi_pe_dma_0_avalon_slave_0;
1458
  //hibi_pe_dma_0_avalon_slave_0_firsttransfer first transaction, which is an e_assign
1459
  assign hibi_pe_dma_0_avalon_slave_0_firsttransfer = hibi_pe_dma_0_avalon_slave_0_begins_xfer ? hibi_pe_dma_0_avalon_slave_0_unreg_firsttransfer : hibi_pe_dma_0_avalon_slave_0_reg_firsttransfer;
1460
 
1461
  //hibi_pe_dma_0_avalon_slave_0_unreg_firsttransfer first transaction, which is an e_assign
1462
  assign hibi_pe_dma_0_avalon_slave_0_unreg_firsttransfer = ~(hibi_pe_dma_0_avalon_slave_0_slavearbiterlockenable & hibi_pe_dma_0_avalon_slave_0_any_continuerequest);
1463
 
1464
  //hibi_pe_dma_0_avalon_slave_0_reg_firsttransfer first transaction, which is an e_register
1465
  always @(posedge clk or negedge reset_n)
1466
    begin
1467
      if (reset_n == 0)
1468
          hibi_pe_dma_0_avalon_slave_0_reg_firsttransfer <= 1'b1;
1469
      else if (hibi_pe_dma_0_avalon_slave_0_begins_xfer)
1470
          hibi_pe_dma_0_avalon_slave_0_reg_firsttransfer <= hibi_pe_dma_0_avalon_slave_0_unreg_firsttransfer;
1471
    end
1472
 
1473
 
1474
  //hibi_pe_dma_0_avalon_slave_0_beginbursttransfer_internal begin burst transfer, which is an e_assign
1475
  assign hibi_pe_dma_0_avalon_slave_0_beginbursttransfer_internal = hibi_pe_dma_0_avalon_slave_0_begins_xfer;
1476
 
1477
  //hibi_pe_dma_0_avalon_slave_0_read assignment, which is an e_mux
1478
  assign hibi_pe_dma_0_avalon_slave_0_read = cpu_0_data_master_granted_hibi_pe_dma_0_avalon_slave_0 & cpu_0_data_master_read;
1479
 
1480
  //hibi_pe_dma_0_avalon_slave_0_write assignment, which is an e_mux
1481
  assign hibi_pe_dma_0_avalon_slave_0_write = cpu_0_data_master_granted_hibi_pe_dma_0_avalon_slave_0 & cpu_0_data_master_write;
1482
 
1483
  assign shifted_address_to_hibi_pe_dma_0_avalon_slave_0_from_cpu_0_data_master = cpu_0_data_master_address_to_slave;
1484
  //hibi_pe_dma_0_avalon_slave_0_address mux, which is an e_mux
1485
  assign hibi_pe_dma_0_avalon_slave_0_address = shifted_address_to_hibi_pe_dma_0_avalon_slave_0_from_cpu_0_data_master >> 2;
1486
 
1487
  //d1_hibi_pe_dma_0_avalon_slave_0_end_xfer register, which is an e_register
1488
  always @(posedge clk or negedge reset_n)
1489
    begin
1490
      if (reset_n == 0)
1491
          d1_hibi_pe_dma_0_avalon_slave_0_end_xfer <= 1;
1492
      else
1493
        d1_hibi_pe_dma_0_avalon_slave_0_end_xfer <= hibi_pe_dma_0_avalon_slave_0_end_xfer;
1494
    end
1495
 
1496
 
1497
  //hibi_pe_dma_0_avalon_slave_0_waits_for_read in a cycle, which is an e_mux
1498
  assign hibi_pe_dma_0_avalon_slave_0_waits_for_read = hibi_pe_dma_0_avalon_slave_0_in_a_read_cycle & hibi_pe_dma_0_avalon_slave_0_waitrequest_from_sa;
1499
 
1500
  //hibi_pe_dma_0_avalon_slave_0_in_a_read_cycle assignment, which is an e_assign
1501
  assign hibi_pe_dma_0_avalon_slave_0_in_a_read_cycle = cpu_0_data_master_granted_hibi_pe_dma_0_avalon_slave_0 & cpu_0_data_master_read;
1502
 
1503
  //in_a_read_cycle assignment, which is an e_mux
1504
  assign in_a_read_cycle = hibi_pe_dma_0_avalon_slave_0_in_a_read_cycle;
1505
 
1506
  //hibi_pe_dma_0_avalon_slave_0_waits_for_write in a cycle, which is an e_mux
1507
  assign hibi_pe_dma_0_avalon_slave_0_waits_for_write = hibi_pe_dma_0_avalon_slave_0_in_a_write_cycle & hibi_pe_dma_0_avalon_slave_0_waitrequest_from_sa;
1508
 
1509
  //hibi_pe_dma_0_avalon_slave_0_in_a_write_cycle assignment, which is an e_assign
1510
  assign hibi_pe_dma_0_avalon_slave_0_in_a_write_cycle = cpu_0_data_master_granted_hibi_pe_dma_0_avalon_slave_0 & cpu_0_data_master_write;
1511
 
1512
  //in_a_write_cycle assignment, which is an e_mux
1513
  assign in_a_write_cycle = hibi_pe_dma_0_avalon_slave_0_in_a_write_cycle;
1514
 
1515
  assign wait_for_hibi_pe_dma_0_avalon_slave_0_counter = 0;
1516
  //assign hibi_pe_dma_0_avalon_slave_0_irq_from_sa = hibi_pe_dma_0_avalon_slave_0_irq so that symbol knows where to group signals which may go to master only, which is an e_assign
1517
  assign hibi_pe_dma_0_avalon_slave_0_irq_from_sa = hibi_pe_dma_0_avalon_slave_0_irq;
1518
 
1519
 
1520
//synthesis translate_off
1521
//////////////// SIMULATION-ONLY CONTENTS
1522
  //hibi_pe_dma_0/avalon_slave_0 enable non-zero assertions, which is an e_register
1523
  always @(posedge clk or negedge reset_n)
1524
    begin
1525
      if (reset_n == 0)
1526
          enable_nonzero_assertions <= 0;
1527
      else
1528
        enable_nonzero_assertions <= 1'b1;
1529
    end
1530
 
1531
 
1532
 
1533
//////////////// END SIMULATION-ONLY CONTENTS
1534
 
1535
//synthesis translate_on
1536
 
1537
endmodule
1538
 
1539
 
1540
// synthesis translate_off
1541
`timescale 1ns / 1ps
1542
// synthesis translate_on
1543
 
1544
// turn off superfluous verilog processor warnings 
1545
// altera message_level Level1 
1546
// altera message_off 10034 10035 10036 10037 10230 10240 10030 
1547
 
1548
module hibi_pe_dma_0_avalon_master_arbitrator (
1549
                                                // inputs:
1550
                                                 clk,
1551
                                                 d1_onchip_memory_0_s1_end_xfer,
1552
                                                 hibi_pe_dma_0_avalon_master_address,
1553
                                                 hibi_pe_dma_0_avalon_master_byteenable,
1554
                                                 hibi_pe_dma_0_avalon_master_granted_onchip_memory_0_s1,
1555
                                                 hibi_pe_dma_0_avalon_master_qualified_request_onchip_memory_0_s1,
1556
                                                 hibi_pe_dma_0_avalon_master_requests_onchip_memory_0_s1,
1557
                                                 hibi_pe_dma_0_avalon_master_write,
1558
                                                 hibi_pe_dma_0_avalon_master_writedata,
1559
                                                 reset_n,
1560
 
1561
                                                // outputs:
1562
                                                 hibi_pe_dma_0_avalon_master_address_to_slave,
1563
                                                 hibi_pe_dma_0_avalon_master_waitrequest
1564
                                              )
1565
;
1566
 
1567
  output  [ 31: 0] hibi_pe_dma_0_avalon_master_address_to_slave;
1568
  output           hibi_pe_dma_0_avalon_master_waitrequest;
1569
  input            clk;
1570
  input            d1_onchip_memory_0_s1_end_xfer;
1571
  input   [ 31: 0] hibi_pe_dma_0_avalon_master_address;
1572
  input   [  3: 0] hibi_pe_dma_0_avalon_master_byteenable;
1573
  input            hibi_pe_dma_0_avalon_master_granted_onchip_memory_0_s1;
1574
  input            hibi_pe_dma_0_avalon_master_qualified_request_onchip_memory_0_s1;
1575
  input            hibi_pe_dma_0_avalon_master_requests_onchip_memory_0_s1;
1576
  input            hibi_pe_dma_0_avalon_master_write;
1577
  input   [ 31: 0] hibi_pe_dma_0_avalon_master_writedata;
1578
  input            reset_n;
1579
 
1580
  reg              active_and_waiting_last_time;
1581
  reg     [ 31: 0] hibi_pe_dma_0_avalon_master_address_last_time;
1582
  wire    [ 31: 0] hibi_pe_dma_0_avalon_master_address_to_slave;
1583
  reg     [  3: 0] hibi_pe_dma_0_avalon_master_byteenable_last_time;
1584
  wire             hibi_pe_dma_0_avalon_master_run;
1585
  wire             hibi_pe_dma_0_avalon_master_waitrequest;
1586
  reg              hibi_pe_dma_0_avalon_master_write_last_time;
1587
  reg     [ 31: 0] hibi_pe_dma_0_avalon_master_writedata_last_time;
1588
  wire             r_0;
1589
  //r_0 master_run cascaded wait assignment, which is an e_assign
1590
  assign r_0 = 1 & (hibi_pe_dma_0_avalon_master_qualified_request_onchip_memory_0_s1 | ~hibi_pe_dma_0_avalon_master_requests_onchip_memory_0_s1) & (hibi_pe_dma_0_avalon_master_granted_onchip_memory_0_s1 | ~hibi_pe_dma_0_avalon_master_qualified_request_onchip_memory_0_s1) & ((~hibi_pe_dma_0_avalon_master_qualified_request_onchip_memory_0_s1 | ~(hibi_pe_dma_0_avalon_master_write) | (1 & (hibi_pe_dma_0_avalon_master_write))));
1591
 
1592
  //cascaded wait assignment, which is an e_assign
1593
  assign hibi_pe_dma_0_avalon_master_run = r_0;
1594
 
1595
  //optimize select-logic by passing only those address bits which matter.
1596
  assign hibi_pe_dma_0_avalon_master_address_to_slave = {21'b0,
1597
    hibi_pe_dma_0_avalon_master_address[10 : 0]};
1598
 
1599
  //actual waitrequest port, which is an e_assign
1600
  assign hibi_pe_dma_0_avalon_master_waitrequest = ~hibi_pe_dma_0_avalon_master_run;
1601
 
1602
 
1603
//synthesis translate_off
1604
//////////////// SIMULATION-ONLY CONTENTS
1605
  //hibi_pe_dma_0_avalon_master_address check against wait, which is an e_register
1606
  always @(posedge clk or negedge reset_n)
1607
    begin
1608
      if (reset_n == 0)
1609
          hibi_pe_dma_0_avalon_master_address_last_time <= 0;
1610
      else
1611
        hibi_pe_dma_0_avalon_master_address_last_time <= hibi_pe_dma_0_avalon_master_address;
1612
    end
1613
 
1614
 
1615
  //hibi_pe_dma_0/avalon_master waited last time, which is an e_register
1616
  always @(posedge clk or negedge reset_n)
1617
    begin
1618
      if (reset_n == 0)
1619
          active_and_waiting_last_time <= 0;
1620
      else
1621
        active_and_waiting_last_time <= hibi_pe_dma_0_avalon_master_waitrequest & (hibi_pe_dma_0_avalon_master_write);
1622
    end
1623
 
1624
 
1625
  //hibi_pe_dma_0_avalon_master_address matches last port_name, which is an e_process
1626
  always @(posedge clk)
1627
    begin
1628
      if (active_and_waiting_last_time & (hibi_pe_dma_0_avalon_master_address != hibi_pe_dma_0_avalon_master_address_last_time))
1629
        begin
1630
          $write("%0d ns: hibi_pe_dma_0_avalon_master_address did not heed wait!!!", $time);
1631
          $stop;
1632
        end
1633
    end
1634
 
1635
 
1636
  //hibi_pe_dma_0_avalon_master_byteenable check against wait, which is an e_register
1637
  always @(posedge clk or negedge reset_n)
1638
    begin
1639
      if (reset_n == 0)
1640
          hibi_pe_dma_0_avalon_master_byteenable_last_time <= 0;
1641
      else
1642
        hibi_pe_dma_0_avalon_master_byteenable_last_time <= hibi_pe_dma_0_avalon_master_byteenable;
1643
    end
1644
 
1645
 
1646
  //hibi_pe_dma_0_avalon_master_byteenable matches last port_name, which is an e_process
1647
  always @(posedge clk)
1648
    begin
1649
      if (active_and_waiting_last_time & (hibi_pe_dma_0_avalon_master_byteenable != hibi_pe_dma_0_avalon_master_byteenable_last_time))
1650
        begin
1651
          $write("%0d ns: hibi_pe_dma_0_avalon_master_byteenable did not heed wait!!!", $time);
1652
          $stop;
1653
        end
1654
    end
1655
 
1656
 
1657
  //hibi_pe_dma_0_avalon_master_write check against wait, which is an e_register
1658
  always @(posedge clk or negedge reset_n)
1659
    begin
1660
      if (reset_n == 0)
1661
          hibi_pe_dma_0_avalon_master_write_last_time <= 0;
1662
      else
1663
        hibi_pe_dma_0_avalon_master_write_last_time <= hibi_pe_dma_0_avalon_master_write;
1664
    end
1665
 
1666
 
1667
  //hibi_pe_dma_0_avalon_master_write matches last port_name, which is an e_process
1668
  always @(posedge clk)
1669
    begin
1670
      if (active_and_waiting_last_time & (hibi_pe_dma_0_avalon_master_write != hibi_pe_dma_0_avalon_master_write_last_time))
1671
        begin
1672
          $write("%0d ns: hibi_pe_dma_0_avalon_master_write did not heed wait!!!", $time);
1673
          $stop;
1674
        end
1675
    end
1676
 
1677
 
1678
  //hibi_pe_dma_0_avalon_master_writedata check against wait, which is an e_register
1679
  always @(posedge clk or negedge reset_n)
1680
    begin
1681
      if (reset_n == 0)
1682
          hibi_pe_dma_0_avalon_master_writedata_last_time <= 0;
1683
      else
1684
        hibi_pe_dma_0_avalon_master_writedata_last_time <= hibi_pe_dma_0_avalon_master_writedata;
1685
    end
1686
 
1687
 
1688
  //hibi_pe_dma_0_avalon_master_writedata matches last port_name, which is an e_process
1689
  always @(posedge clk)
1690
    begin
1691
      if (active_and_waiting_last_time & (hibi_pe_dma_0_avalon_master_writedata != hibi_pe_dma_0_avalon_master_writedata_last_time) & hibi_pe_dma_0_avalon_master_write)
1692
        begin
1693
          $write("%0d ns: hibi_pe_dma_0_avalon_master_writedata did not heed wait!!!", $time);
1694
          $stop;
1695
        end
1696
    end
1697
 
1698
 
1699
 
1700
//////////////// END SIMULATION-ONLY CONTENTS
1701
 
1702
//synthesis translate_on
1703
 
1704
endmodule
1705
 
1706
 
1707
// synthesis translate_off
1708
`timescale 1ns / 1ps
1709
// synthesis translate_on
1710
 
1711
// turn off superfluous verilog processor warnings 
1712
// altera message_level Level1 
1713
// altera message_off 10034 10035 10036 10037 10230 10240 10030 
1714
 
1715
module hibi_pe_dma_0_avalon_master_1_arbitrator (
1716
                                                  // inputs:
1717
                                                   clk,
1718
                                                   d1_onchip_memory_0_s1_end_xfer,
1719
                                                   hibi_pe_dma_0_avalon_master_1_address,
1720
                                                   hibi_pe_dma_0_avalon_master_1_granted_onchip_memory_0_s1,
1721
                                                   hibi_pe_dma_0_avalon_master_1_qualified_request_onchip_memory_0_s1,
1722
                                                   hibi_pe_dma_0_avalon_master_1_read,
1723
                                                   hibi_pe_dma_0_avalon_master_1_read_data_valid_onchip_memory_0_s1,
1724
                                                   hibi_pe_dma_0_avalon_master_1_requests_onchip_memory_0_s1,
1725
                                                   onchip_memory_0_s1_readdata_from_sa,
1726
                                                   reset_n,
1727
 
1728
                                                  // outputs:
1729
                                                   hibi_pe_dma_0_avalon_master_1_address_to_slave,
1730
                                                   hibi_pe_dma_0_avalon_master_1_latency_counter,
1731
                                                   hibi_pe_dma_0_avalon_master_1_readdata,
1732
                                                   hibi_pe_dma_0_avalon_master_1_readdatavalid,
1733
                                                   hibi_pe_dma_0_avalon_master_1_waitrequest
1734
                                                )
1735
;
1736
 
1737
  output  [ 31: 0] hibi_pe_dma_0_avalon_master_1_address_to_slave;
1738
  output           hibi_pe_dma_0_avalon_master_1_latency_counter;
1739
  output  [ 31: 0] hibi_pe_dma_0_avalon_master_1_readdata;
1740
  output           hibi_pe_dma_0_avalon_master_1_readdatavalid;
1741
  output           hibi_pe_dma_0_avalon_master_1_waitrequest;
1742
  input            clk;
1743
  input            d1_onchip_memory_0_s1_end_xfer;
1744
  input   [ 31: 0] hibi_pe_dma_0_avalon_master_1_address;
1745
  input            hibi_pe_dma_0_avalon_master_1_granted_onchip_memory_0_s1;
1746
  input            hibi_pe_dma_0_avalon_master_1_qualified_request_onchip_memory_0_s1;
1747
  input            hibi_pe_dma_0_avalon_master_1_read;
1748
  input            hibi_pe_dma_0_avalon_master_1_read_data_valid_onchip_memory_0_s1;
1749
  input            hibi_pe_dma_0_avalon_master_1_requests_onchip_memory_0_s1;
1750
  input   [ 31: 0] onchip_memory_0_s1_readdata_from_sa;
1751
  input            reset_n;
1752
 
1753
  reg              active_and_waiting_last_time;
1754
  reg     [ 31: 0] hibi_pe_dma_0_avalon_master_1_address_last_time;
1755
  wire    [ 31: 0] hibi_pe_dma_0_avalon_master_1_address_to_slave;
1756
  wire             hibi_pe_dma_0_avalon_master_1_is_granted_some_slave;
1757
  reg              hibi_pe_dma_0_avalon_master_1_latency_counter;
1758
  reg              hibi_pe_dma_0_avalon_master_1_read_but_no_slave_selected;
1759
  reg              hibi_pe_dma_0_avalon_master_1_read_last_time;
1760
  wire    [ 31: 0] hibi_pe_dma_0_avalon_master_1_readdata;
1761
  wire             hibi_pe_dma_0_avalon_master_1_readdatavalid;
1762
  wire             hibi_pe_dma_0_avalon_master_1_run;
1763
  wire             hibi_pe_dma_0_avalon_master_1_waitrequest;
1764
  wire             latency_load_value;
1765
  wire             p1_hibi_pe_dma_0_avalon_master_1_latency_counter;
1766
  wire             pre_flush_hibi_pe_dma_0_avalon_master_1_readdatavalid;
1767
  wire             r_0;
1768
  //r_0 master_run cascaded wait assignment, which is an e_assign
1769
  assign r_0 = 1 & (hibi_pe_dma_0_avalon_master_1_qualified_request_onchip_memory_0_s1 | ~hibi_pe_dma_0_avalon_master_1_requests_onchip_memory_0_s1) & (hibi_pe_dma_0_avalon_master_1_granted_onchip_memory_0_s1 | ~hibi_pe_dma_0_avalon_master_1_qualified_request_onchip_memory_0_s1) & ((~hibi_pe_dma_0_avalon_master_1_qualified_request_onchip_memory_0_s1 | ~(hibi_pe_dma_0_avalon_master_1_read) | (1 & (hibi_pe_dma_0_avalon_master_1_read))));
1770
 
1771
  //cascaded wait assignment, which is an e_assign
1772
  assign hibi_pe_dma_0_avalon_master_1_run = r_0;
1773
 
1774
  //optimize select-logic by passing only those address bits which matter.
1775
  assign hibi_pe_dma_0_avalon_master_1_address_to_slave = {21'b0,
1776
    hibi_pe_dma_0_avalon_master_1_address[10 : 0]};
1777
 
1778
  //hibi_pe_dma_0_avalon_master_1_read_but_no_slave_selected assignment, which is an e_register
1779
  always @(posedge clk or negedge reset_n)
1780
    begin
1781
      if (reset_n == 0)
1782
          hibi_pe_dma_0_avalon_master_1_read_but_no_slave_selected <= 0;
1783
      else
1784
        hibi_pe_dma_0_avalon_master_1_read_but_no_slave_selected <= hibi_pe_dma_0_avalon_master_1_read & hibi_pe_dma_0_avalon_master_1_run & ~hibi_pe_dma_0_avalon_master_1_is_granted_some_slave;
1785
    end
1786
 
1787
 
1788
  //some slave is getting selected, which is an e_mux
1789
  assign hibi_pe_dma_0_avalon_master_1_is_granted_some_slave = hibi_pe_dma_0_avalon_master_1_granted_onchip_memory_0_s1;
1790
 
1791
  //latent slave read data valids which may be flushed, which is an e_mux
1792
  assign pre_flush_hibi_pe_dma_0_avalon_master_1_readdatavalid = hibi_pe_dma_0_avalon_master_1_read_data_valid_onchip_memory_0_s1;
1793
 
1794
  //latent slave read data valid which is not flushed, which is an e_mux
1795
  assign hibi_pe_dma_0_avalon_master_1_readdatavalid = hibi_pe_dma_0_avalon_master_1_read_but_no_slave_selected |
1796
    pre_flush_hibi_pe_dma_0_avalon_master_1_readdatavalid;
1797
 
1798
  //hibi_pe_dma_0/avalon_master_1 readdata mux, which is an e_mux
1799
  assign hibi_pe_dma_0_avalon_master_1_readdata = onchip_memory_0_s1_readdata_from_sa;
1800
 
1801
  //actual waitrequest port, which is an e_assign
1802
  assign hibi_pe_dma_0_avalon_master_1_waitrequest = ~hibi_pe_dma_0_avalon_master_1_run;
1803
 
1804
  //latent max counter, which is an e_register
1805
  always @(posedge clk or negedge reset_n)
1806
    begin
1807
      if (reset_n == 0)
1808
          hibi_pe_dma_0_avalon_master_1_latency_counter <= 0;
1809
      else
1810
        hibi_pe_dma_0_avalon_master_1_latency_counter <= p1_hibi_pe_dma_0_avalon_master_1_latency_counter;
1811
    end
1812
 
1813
 
1814
  //latency counter load mux, which is an e_mux
1815
  assign p1_hibi_pe_dma_0_avalon_master_1_latency_counter = ((hibi_pe_dma_0_avalon_master_1_run & hibi_pe_dma_0_avalon_master_1_read))? latency_load_value :
1816
    (hibi_pe_dma_0_avalon_master_1_latency_counter)? hibi_pe_dma_0_avalon_master_1_latency_counter - 1 :
1817
    0;
1818
 
1819
  //read latency load values, which is an e_mux
1820
  assign latency_load_value = {1 {hibi_pe_dma_0_avalon_master_1_requests_onchip_memory_0_s1}} & 1;
1821
 
1822
 
1823
//synthesis translate_off
1824
//////////////// SIMULATION-ONLY CONTENTS
1825
  //hibi_pe_dma_0_avalon_master_1_address check against wait, which is an e_register
1826
  always @(posedge clk or negedge reset_n)
1827
    begin
1828
      if (reset_n == 0)
1829
          hibi_pe_dma_0_avalon_master_1_address_last_time <= 0;
1830
      else
1831
        hibi_pe_dma_0_avalon_master_1_address_last_time <= hibi_pe_dma_0_avalon_master_1_address;
1832
    end
1833
 
1834
 
1835
  //hibi_pe_dma_0/avalon_master_1 waited last time, which is an e_register
1836
  always @(posedge clk or negedge reset_n)
1837
    begin
1838
      if (reset_n == 0)
1839
          active_and_waiting_last_time <= 0;
1840
      else
1841
        active_and_waiting_last_time <= hibi_pe_dma_0_avalon_master_1_waitrequest & (hibi_pe_dma_0_avalon_master_1_read);
1842
    end
1843
 
1844
 
1845
  //hibi_pe_dma_0_avalon_master_1_address matches last port_name, which is an e_process
1846
  always @(posedge clk)
1847
    begin
1848
      if (active_and_waiting_last_time & (hibi_pe_dma_0_avalon_master_1_address != hibi_pe_dma_0_avalon_master_1_address_last_time))
1849
        begin
1850
          $write("%0d ns: hibi_pe_dma_0_avalon_master_1_address did not heed wait!!!", $time);
1851
          $stop;
1852
        end
1853
    end
1854
 
1855
 
1856
  //hibi_pe_dma_0_avalon_master_1_read check against wait, which is an e_register
1857
  always @(posedge clk or negedge reset_n)
1858
    begin
1859
      if (reset_n == 0)
1860
          hibi_pe_dma_0_avalon_master_1_read_last_time <= 0;
1861
      else
1862
        hibi_pe_dma_0_avalon_master_1_read_last_time <= hibi_pe_dma_0_avalon_master_1_read;
1863
    end
1864
 
1865
 
1866
  //hibi_pe_dma_0_avalon_master_1_read matches last port_name, which is an e_process
1867
  always @(posedge clk)
1868
    begin
1869
      if (active_and_waiting_last_time & (hibi_pe_dma_0_avalon_master_1_read != hibi_pe_dma_0_avalon_master_1_read_last_time))
1870
        begin
1871
          $write("%0d ns: hibi_pe_dma_0_avalon_master_1_read did not heed wait!!!", $time);
1872
          $stop;
1873
        end
1874
    end
1875
 
1876
 
1877
 
1878
//////////////// END SIMULATION-ONLY CONTENTS
1879
 
1880
//synthesis translate_on
1881
 
1882
endmodule
1883
 
1884
 
1885
// synthesis translate_off
1886
`timescale 1ns / 1ps
1887
// synthesis translate_on
1888
 
1889
// turn off superfluous verilog processor warnings 
1890
// altera message_level Level1 
1891
// altera message_off 10034 10035 10036 10037 10230 10240 10030 
1892
 
1893
module jtag_uart_0_avalon_jtag_slave_arbitrator (
1894
                                                  // inputs:
1895
                                                   clk,
1896
                                                   cpu_0_data_master_address_to_slave,
1897
                                                   cpu_0_data_master_latency_counter,
1898
                                                   cpu_0_data_master_read,
1899
                                                   cpu_0_data_master_write,
1900
                                                   cpu_0_data_master_writedata,
1901
                                                   jtag_uart_0_avalon_jtag_slave_dataavailable,
1902
                                                   jtag_uart_0_avalon_jtag_slave_irq,
1903
                                                   jtag_uart_0_avalon_jtag_slave_readdata,
1904
                                                   jtag_uart_0_avalon_jtag_slave_readyfordata,
1905
                                                   jtag_uart_0_avalon_jtag_slave_waitrequest,
1906
                                                   reset_n,
1907
 
1908
                                                  // outputs:
1909
                                                   cpu_0_data_master_granted_jtag_uart_0_avalon_jtag_slave,
1910
                                                   cpu_0_data_master_qualified_request_jtag_uart_0_avalon_jtag_slave,
1911
                                                   cpu_0_data_master_read_data_valid_jtag_uart_0_avalon_jtag_slave,
1912
                                                   cpu_0_data_master_requests_jtag_uart_0_avalon_jtag_slave,
1913
                                                   d1_jtag_uart_0_avalon_jtag_slave_end_xfer,
1914
                                                   jtag_uart_0_avalon_jtag_slave_address,
1915
                                                   jtag_uart_0_avalon_jtag_slave_chipselect,
1916
                                                   jtag_uart_0_avalon_jtag_slave_dataavailable_from_sa,
1917
                                                   jtag_uart_0_avalon_jtag_slave_irq_from_sa,
1918
                                                   jtag_uart_0_avalon_jtag_slave_read_n,
1919
                                                   jtag_uart_0_avalon_jtag_slave_readdata_from_sa,
1920
                                                   jtag_uart_0_avalon_jtag_slave_readyfordata_from_sa,
1921
                                                   jtag_uart_0_avalon_jtag_slave_reset_n,
1922
                                                   jtag_uart_0_avalon_jtag_slave_waitrequest_from_sa,
1923
                                                   jtag_uart_0_avalon_jtag_slave_write_n,
1924
                                                   jtag_uart_0_avalon_jtag_slave_writedata
1925
                                                )
1926
;
1927
 
1928
  output           cpu_0_data_master_granted_jtag_uart_0_avalon_jtag_slave;
1929
  output           cpu_0_data_master_qualified_request_jtag_uart_0_avalon_jtag_slave;
1930
  output           cpu_0_data_master_read_data_valid_jtag_uart_0_avalon_jtag_slave;
1931
  output           cpu_0_data_master_requests_jtag_uart_0_avalon_jtag_slave;
1932
  output           d1_jtag_uart_0_avalon_jtag_slave_end_xfer;
1933
  output           jtag_uart_0_avalon_jtag_slave_address;
1934
  output           jtag_uart_0_avalon_jtag_slave_chipselect;
1935
  output           jtag_uart_0_avalon_jtag_slave_dataavailable_from_sa;
1936
  output           jtag_uart_0_avalon_jtag_slave_irq_from_sa;
1937
  output           jtag_uart_0_avalon_jtag_slave_read_n;
1938
  output  [ 31: 0] jtag_uart_0_avalon_jtag_slave_readdata_from_sa;
1939
  output           jtag_uart_0_avalon_jtag_slave_readyfordata_from_sa;
1940
  output           jtag_uart_0_avalon_jtag_slave_reset_n;
1941
  output           jtag_uart_0_avalon_jtag_slave_waitrequest_from_sa;
1942
  output           jtag_uart_0_avalon_jtag_slave_write_n;
1943
  output  [ 31: 0] jtag_uart_0_avalon_jtag_slave_writedata;
1944
  input            clk;
1945
  input   [ 20: 0] cpu_0_data_master_address_to_slave;
1946
  input   [  1: 0] cpu_0_data_master_latency_counter;
1947
  input            cpu_0_data_master_read;
1948
  input            cpu_0_data_master_write;
1949
  input   [ 31: 0] cpu_0_data_master_writedata;
1950
  input            jtag_uart_0_avalon_jtag_slave_dataavailable;
1951
  input            jtag_uart_0_avalon_jtag_slave_irq;
1952
  input   [ 31: 0] jtag_uart_0_avalon_jtag_slave_readdata;
1953
  input            jtag_uart_0_avalon_jtag_slave_readyfordata;
1954
  input            jtag_uart_0_avalon_jtag_slave_waitrequest;
1955
  input            reset_n;
1956
 
1957
  wire             cpu_0_data_master_arbiterlock;
1958
  wire             cpu_0_data_master_arbiterlock2;
1959
  wire             cpu_0_data_master_continuerequest;
1960
  wire             cpu_0_data_master_granted_jtag_uart_0_avalon_jtag_slave;
1961
  wire             cpu_0_data_master_qualified_request_jtag_uart_0_avalon_jtag_slave;
1962
  wire             cpu_0_data_master_read_data_valid_jtag_uart_0_avalon_jtag_slave;
1963
  wire             cpu_0_data_master_requests_jtag_uart_0_avalon_jtag_slave;
1964
  wire             cpu_0_data_master_saved_grant_jtag_uart_0_avalon_jtag_slave;
1965
  reg              d1_jtag_uart_0_avalon_jtag_slave_end_xfer;
1966
  reg              d1_reasons_to_wait;
1967
  reg              enable_nonzero_assertions;
1968
  wire             end_xfer_arb_share_counter_term_jtag_uart_0_avalon_jtag_slave;
1969
  wire             in_a_read_cycle;
1970
  wire             in_a_write_cycle;
1971
  wire             jtag_uart_0_avalon_jtag_slave_address;
1972
  wire             jtag_uart_0_avalon_jtag_slave_allgrants;
1973
  wire             jtag_uart_0_avalon_jtag_slave_allow_new_arb_cycle;
1974
  wire             jtag_uart_0_avalon_jtag_slave_any_bursting_master_saved_grant;
1975
  wire             jtag_uart_0_avalon_jtag_slave_any_continuerequest;
1976
  wire             jtag_uart_0_avalon_jtag_slave_arb_counter_enable;
1977
  reg     [  1: 0] jtag_uart_0_avalon_jtag_slave_arb_share_counter;
1978
  wire    [  1: 0] jtag_uart_0_avalon_jtag_slave_arb_share_counter_next_value;
1979
  wire    [  1: 0] jtag_uart_0_avalon_jtag_slave_arb_share_set_values;
1980
  wire             jtag_uart_0_avalon_jtag_slave_beginbursttransfer_internal;
1981
  wire             jtag_uart_0_avalon_jtag_slave_begins_xfer;
1982
  wire             jtag_uart_0_avalon_jtag_slave_chipselect;
1983
  wire             jtag_uart_0_avalon_jtag_slave_dataavailable_from_sa;
1984
  wire             jtag_uart_0_avalon_jtag_slave_end_xfer;
1985
  wire             jtag_uart_0_avalon_jtag_slave_firsttransfer;
1986
  wire             jtag_uart_0_avalon_jtag_slave_grant_vector;
1987
  wire             jtag_uart_0_avalon_jtag_slave_in_a_read_cycle;
1988
  wire             jtag_uart_0_avalon_jtag_slave_in_a_write_cycle;
1989
  wire             jtag_uart_0_avalon_jtag_slave_irq_from_sa;
1990
  wire             jtag_uart_0_avalon_jtag_slave_master_qreq_vector;
1991
  wire             jtag_uart_0_avalon_jtag_slave_non_bursting_master_requests;
1992
  wire             jtag_uart_0_avalon_jtag_slave_read_n;
1993
  wire    [ 31: 0] jtag_uart_0_avalon_jtag_slave_readdata_from_sa;
1994
  wire             jtag_uart_0_avalon_jtag_slave_readyfordata_from_sa;
1995
  reg              jtag_uart_0_avalon_jtag_slave_reg_firsttransfer;
1996
  wire             jtag_uart_0_avalon_jtag_slave_reset_n;
1997
  reg              jtag_uart_0_avalon_jtag_slave_slavearbiterlockenable;
1998
  wire             jtag_uart_0_avalon_jtag_slave_slavearbiterlockenable2;
1999
  wire             jtag_uart_0_avalon_jtag_slave_unreg_firsttransfer;
2000
  wire             jtag_uart_0_avalon_jtag_slave_waitrequest_from_sa;
2001
  wire             jtag_uart_0_avalon_jtag_slave_waits_for_read;
2002
  wire             jtag_uart_0_avalon_jtag_slave_waits_for_write;
2003
  wire             jtag_uart_0_avalon_jtag_slave_write_n;
2004
  wire    [ 31: 0] jtag_uart_0_avalon_jtag_slave_writedata;
2005
  wire    [ 20: 0] shifted_address_to_jtag_uart_0_avalon_jtag_slave_from_cpu_0_data_master;
2006
  wire             wait_for_jtag_uart_0_avalon_jtag_slave_counter;
2007
  always @(posedge clk or negedge reset_n)
2008
    begin
2009
      if (reset_n == 0)
2010
          d1_reasons_to_wait <= 0;
2011
      else
2012
        d1_reasons_to_wait <= ~jtag_uart_0_avalon_jtag_slave_end_xfer;
2013
    end
2014
 
2015
 
2016
  assign jtag_uart_0_avalon_jtag_slave_begins_xfer = ~d1_reasons_to_wait & ((cpu_0_data_master_qualified_request_jtag_uart_0_avalon_jtag_slave));
2017
  //assign jtag_uart_0_avalon_jtag_slave_readdata_from_sa = jtag_uart_0_avalon_jtag_slave_readdata so that symbol knows where to group signals which may go to master only, which is an e_assign
2018
  assign jtag_uart_0_avalon_jtag_slave_readdata_from_sa = jtag_uart_0_avalon_jtag_slave_readdata;
2019
 
2020
  assign cpu_0_data_master_requests_jtag_uart_0_avalon_jtag_slave = ({cpu_0_data_master_address_to_slave[20 : 3] , 3'b0} == 21'h101e70) & (cpu_0_data_master_read | cpu_0_data_master_write);
2021
  //assign jtag_uart_0_avalon_jtag_slave_dataavailable_from_sa = jtag_uart_0_avalon_jtag_slave_dataavailable so that symbol knows where to group signals which may go to master only, which is an e_assign
2022
  assign jtag_uart_0_avalon_jtag_slave_dataavailable_from_sa = jtag_uart_0_avalon_jtag_slave_dataavailable;
2023
 
2024
  //assign jtag_uart_0_avalon_jtag_slave_readyfordata_from_sa = jtag_uart_0_avalon_jtag_slave_readyfordata so that symbol knows where to group signals which may go to master only, which is an e_assign
2025
  assign jtag_uart_0_avalon_jtag_slave_readyfordata_from_sa = jtag_uart_0_avalon_jtag_slave_readyfordata;
2026
 
2027
  //assign jtag_uart_0_avalon_jtag_slave_waitrequest_from_sa = jtag_uart_0_avalon_jtag_slave_waitrequest so that symbol knows where to group signals which may go to master only, which is an e_assign
2028
  assign jtag_uart_0_avalon_jtag_slave_waitrequest_from_sa = jtag_uart_0_avalon_jtag_slave_waitrequest;
2029
 
2030
  //jtag_uart_0_avalon_jtag_slave_arb_share_counter set values, which is an e_mux
2031
  assign jtag_uart_0_avalon_jtag_slave_arb_share_set_values = 1;
2032
 
2033
  //jtag_uart_0_avalon_jtag_slave_non_bursting_master_requests mux, which is an e_mux
2034
  assign jtag_uart_0_avalon_jtag_slave_non_bursting_master_requests = cpu_0_data_master_requests_jtag_uart_0_avalon_jtag_slave;
2035
 
2036
  //jtag_uart_0_avalon_jtag_slave_any_bursting_master_saved_grant mux, which is an e_mux
2037
  assign jtag_uart_0_avalon_jtag_slave_any_bursting_master_saved_grant = 0;
2038
 
2039
  //jtag_uart_0_avalon_jtag_slave_arb_share_counter_next_value assignment, which is an e_assign
2040
  assign jtag_uart_0_avalon_jtag_slave_arb_share_counter_next_value = jtag_uart_0_avalon_jtag_slave_firsttransfer ? (jtag_uart_0_avalon_jtag_slave_arb_share_set_values - 1) : |jtag_uart_0_avalon_jtag_slave_arb_share_counter ? (jtag_uart_0_avalon_jtag_slave_arb_share_counter - 1) : 0;
2041
 
2042
  //jtag_uart_0_avalon_jtag_slave_allgrants all slave grants, which is an e_mux
2043
  assign jtag_uart_0_avalon_jtag_slave_allgrants = |jtag_uart_0_avalon_jtag_slave_grant_vector;
2044
 
2045
  //jtag_uart_0_avalon_jtag_slave_end_xfer assignment, which is an e_assign
2046
  assign jtag_uart_0_avalon_jtag_slave_end_xfer = ~(jtag_uart_0_avalon_jtag_slave_waits_for_read | jtag_uart_0_avalon_jtag_slave_waits_for_write);
2047
 
2048
  //end_xfer_arb_share_counter_term_jtag_uart_0_avalon_jtag_slave arb share counter enable term, which is an e_assign
2049
  assign end_xfer_arb_share_counter_term_jtag_uart_0_avalon_jtag_slave = jtag_uart_0_avalon_jtag_slave_end_xfer & (~jtag_uart_0_avalon_jtag_slave_any_bursting_master_saved_grant | in_a_read_cycle | in_a_write_cycle);
2050
 
2051
  //jtag_uart_0_avalon_jtag_slave_arb_share_counter arbitration counter enable, which is an e_assign
2052
  assign jtag_uart_0_avalon_jtag_slave_arb_counter_enable = (end_xfer_arb_share_counter_term_jtag_uart_0_avalon_jtag_slave & jtag_uart_0_avalon_jtag_slave_allgrants) | (end_xfer_arb_share_counter_term_jtag_uart_0_avalon_jtag_slave & ~jtag_uart_0_avalon_jtag_slave_non_bursting_master_requests);
2053
 
2054
  //jtag_uart_0_avalon_jtag_slave_arb_share_counter counter, which is an e_register
2055
  always @(posedge clk or negedge reset_n)
2056
    begin
2057
      if (reset_n == 0)
2058
          jtag_uart_0_avalon_jtag_slave_arb_share_counter <= 0;
2059
      else if (jtag_uart_0_avalon_jtag_slave_arb_counter_enable)
2060
          jtag_uart_0_avalon_jtag_slave_arb_share_counter <= jtag_uart_0_avalon_jtag_slave_arb_share_counter_next_value;
2061
    end
2062
 
2063
 
2064
  //jtag_uart_0_avalon_jtag_slave_slavearbiterlockenable slave enables arbiterlock, which is an e_register
2065
  always @(posedge clk or negedge reset_n)
2066
    begin
2067
      if (reset_n == 0)
2068
          jtag_uart_0_avalon_jtag_slave_slavearbiterlockenable <= 0;
2069
      else if ((|jtag_uart_0_avalon_jtag_slave_master_qreq_vector & end_xfer_arb_share_counter_term_jtag_uart_0_avalon_jtag_slave) | (end_xfer_arb_share_counter_term_jtag_uart_0_avalon_jtag_slave & ~jtag_uart_0_avalon_jtag_slave_non_bursting_master_requests))
2070
          jtag_uart_0_avalon_jtag_slave_slavearbiterlockenable <= |jtag_uart_0_avalon_jtag_slave_arb_share_counter_next_value;
2071
    end
2072
 
2073
 
2074
  //cpu_0/data_master jtag_uart_0/avalon_jtag_slave arbiterlock, which is an e_assign
2075
  assign cpu_0_data_master_arbiterlock = jtag_uart_0_avalon_jtag_slave_slavearbiterlockenable & cpu_0_data_master_continuerequest;
2076
 
2077
  //jtag_uart_0_avalon_jtag_slave_slavearbiterlockenable2 slave enables arbiterlock2, which is an e_assign
2078
  assign jtag_uart_0_avalon_jtag_slave_slavearbiterlockenable2 = |jtag_uart_0_avalon_jtag_slave_arb_share_counter_next_value;
2079
 
2080
  //cpu_0/data_master jtag_uart_0/avalon_jtag_slave arbiterlock2, which is an e_assign
2081
  assign cpu_0_data_master_arbiterlock2 = jtag_uart_0_avalon_jtag_slave_slavearbiterlockenable2 & cpu_0_data_master_continuerequest;
2082
 
2083
  //jtag_uart_0_avalon_jtag_slave_any_continuerequest at least one master continues requesting, which is an e_assign
2084
  assign jtag_uart_0_avalon_jtag_slave_any_continuerequest = 1;
2085
 
2086
  //cpu_0_data_master_continuerequest continued request, which is an e_assign
2087
  assign cpu_0_data_master_continuerequest = 1;
2088
 
2089
  assign cpu_0_data_master_qualified_request_jtag_uart_0_avalon_jtag_slave = cpu_0_data_master_requests_jtag_uart_0_avalon_jtag_slave & ~((cpu_0_data_master_read & ((cpu_0_data_master_latency_counter != 0))));
2090
  //local readdatavalid cpu_0_data_master_read_data_valid_jtag_uart_0_avalon_jtag_slave, which is an e_mux
2091
  assign cpu_0_data_master_read_data_valid_jtag_uart_0_avalon_jtag_slave = cpu_0_data_master_granted_jtag_uart_0_avalon_jtag_slave & cpu_0_data_master_read & ~jtag_uart_0_avalon_jtag_slave_waits_for_read;
2092
 
2093
  //jtag_uart_0_avalon_jtag_slave_writedata mux, which is an e_mux
2094
  assign jtag_uart_0_avalon_jtag_slave_writedata = cpu_0_data_master_writedata;
2095
 
2096
  //master is always granted when requested
2097
  assign cpu_0_data_master_granted_jtag_uart_0_avalon_jtag_slave = cpu_0_data_master_qualified_request_jtag_uart_0_avalon_jtag_slave;
2098
 
2099
  //cpu_0/data_master saved-grant jtag_uart_0/avalon_jtag_slave, which is an e_assign
2100
  assign cpu_0_data_master_saved_grant_jtag_uart_0_avalon_jtag_slave = cpu_0_data_master_requests_jtag_uart_0_avalon_jtag_slave;
2101
 
2102
  //allow new arb cycle for jtag_uart_0/avalon_jtag_slave, which is an e_assign
2103
  assign jtag_uart_0_avalon_jtag_slave_allow_new_arb_cycle = 1;
2104
 
2105
  //placeholder chosen master
2106
  assign jtag_uart_0_avalon_jtag_slave_grant_vector = 1;
2107
 
2108
  //placeholder vector of master qualified-requests
2109
  assign jtag_uart_0_avalon_jtag_slave_master_qreq_vector = 1;
2110
 
2111
  //jtag_uart_0_avalon_jtag_slave_reset_n assignment, which is an e_assign
2112
  assign jtag_uart_0_avalon_jtag_slave_reset_n = reset_n;
2113
 
2114
  assign jtag_uart_0_avalon_jtag_slave_chipselect = cpu_0_data_master_granted_jtag_uart_0_avalon_jtag_slave;
2115
  //jtag_uart_0_avalon_jtag_slave_firsttransfer first transaction, which is an e_assign
2116
  assign jtag_uart_0_avalon_jtag_slave_firsttransfer = jtag_uart_0_avalon_jtag_slave_begins_xfer ? jtag_uart_0_avalon_jtag_slave_unreg_firsttransfer : jtag_uart_0_avalon_jtag_slave_reg_firsttransfer;
2117
 
2118
  //jtag_uart_0_avalon_jtag_slave_unreg_firsttransfer first transaction, which is an e_assign
2119
  assign jtag_uart_0_avalon_jtag_slave_unreg_firsttransfer = ~(jtag_uart_0_avalon_jtag_slave_slavearbiterlockenable & jtag_uart_0_avalon_jtag_slave_any_continuerequest);
2120
 
2121
  //jtag_uart_0_avalon_jtag_slave_reg_firsttransfer first transaction, which is an e_register
2122
  always @(posedge clk or negedge reset_n)
2123
    begin
2124
      if (reset_n == 0)
2125
          jtag_uart_0_avalon_jtag_slave_reg_firsttransfer <= 1'b1;
2126
      else if (jtag_uart_0_avalon_jtag_slave_begins_xfer)
2127
          jtag_uart_0_avalon_jtag_slave_reg_firsttransfer <= jtag_uart_0_avalon_jtag_slave_unreg_firsttransfer;
2128
    end
2129
 
2130
 
2131
  //jtag_uart_0_avalon_jtag_slave_beginbursttransfer_internal begin burst transfer, which is an e_assign
2132
  assign jtag_uart_0_avalon_jtag_slave_beginbursttransfer_internal = jtag_uart_0_avalon_jtag_slave_begins_xfer;
2133
 
2134
  //~jtag_uart_0_avalon_jtag_slave_read_n assignment, which is an e_mux
2135
  assign jtag_uart_0_avalon_jtag_slave_read_n = ~(cpu_0_data_master_granted_jtag_uart_0_avalon_jtag_slave & cpu_0_data_master_read);
2136
 
2137
  //~jtag_uart_0_avalon_jtag_slave_write_n assignment, which is an e_mux
2138
  assign jtag_uart_0_avalon_jtag_slave_write_n = ~(cpu_0_data_master_granted_jtag_uart_0_avalon_jtag_slave & cpu_0_data_master_write);
2139
 
2140
  assign shifted_address_to_jtag_uart_0_avalon_jtag_slave_from_cpu_0_data_master = cpu_0_data_master_address_to_slave;
2141
  //jtag_uart_0_avalon_jtag_slave_address mux, which is an e_mux
2142
  assign jtag_uart_0_avalon_jtag_slave_address = shifted_address_to_jtag_uart_0_avalon_jtag_slave_from_cpu_0_data_master >> 2;
2143
 
2144
  //d1_jtag_uart_0_avalon_jtag_slave_end_xfer register, which is an e_register
2145
  always @(posedge clk or negedge reset_n)
2146
    begin
2147
      if (reset_n == 0)
2148
          d1_jtag_uart_0_avalon_jtag_slave_end_xfer <= 1;
2149
      else
2150
        d1_jtag_uart_0_avalon_jtag_slave_end_xfer <= jtag_uart_0_avalon_jtag_slave_end_xfer;
2151
    end
2152
 
2153
 
2154
  //jtag_uart_0_avalon_jtag_slave_waits_for_read in a cycle, which is an e_mux
2155
  assign jtag_uart_0_avalon_jtag_slave_waits_for_read = jtag_uart_0_avalon_jtag_slave_in_a_read_cycle & jtag_uart_0_avalon_jtag_slave_waitrequest_from_sa;
2156
 
2157
  //jtag_uart_0_avalon_jtag_slave_in_a_read_cycle assignment, which is an e_assign
2158
  assign jtag_uart_0_avalon_jtag_slave_in_a_read_cycle = cpu_0_data_master_granted_jtag_uart_0_avalon_jtag_slave & cpu_0_data_master_read;
2159
 
2160
  //in_a_read_cycle assignment, which is an e_mux
2161
  assign in_a_read_cycle = jtag_uart_0_avalon_jtag_slave_in_a_read_cycle;
2162
 
2163
  //jtag_uart_0_avalon_jtag_slave_waits_for_write in a cycle, which is an e_mux
2164
  assign jtag_uart_0_avalon_jtag_slave_waits_for_write = jtag_uart_0_avalon_jtag_slave_in_a_write_cycle & jtag_uart_0_avalon_jtag_slave_waitrequest_from_sa;
2165
 
2166
  //jtag_uart_0_avalon_jtag_slave_in_a_write_cycle assignment, which is an e_assign
2167
  assign jtag_uart_0_avalon_jtag_slave_in_a_write_cycle = cpu_0_data_master_granted_jtag_uart_0_avalon_jtag_slave & cpu_0_data_master_write;
2168
 
2169
  //in_a_write_cycle assignment, which is an e_mux
2170
  assign in_a_write_cycle = jtag_uart_0_avalon_jtag_slave_in_a_write_cycle;
2171
 
2172
  assign wait_for_jtag_uart_0_avalon_jtag_slave_counter = 0;
2173
  //assign jtag_uart_0_avalon_jtag_slave_irq_from_sa = jtag_uart_0_avalon_jtag_slave_irq so that symbol knows where to group signals which may go to master only, which is an e_assign
2174
  assign jtag_uart_0_avalon_jtag_slave_irq_from_sa = jtag_uart_0_avalon_jtag_slave_irq;
2175
 
2176
 
2177
//synthesis translate_off
2178
//////////////// SIMULATION-ONLY CONTENTS
2179
  //jtag_uart_0/avalon_jtag_slave enable non-zero assertions, which is an e_register
2180
  always @(posedge clk or negedge reset_n)
2181
    begin
2182
      if (reset_n == 0)
2183
          enable_nonzero_assertions <= 0;
2184
      else
2185
        enable_nonzero_assertions <= 1'b1;
2186
    end
2187
 
2188
 
2189
 
2190
//////////////// END SIMULATION-ONLY CONTENTS
2191
 
2192
//synthesis translate_on
2193
 
2194
endmodule
2195
 
2196
 
2197
// synthesis translate_off
2198
`timescale 1ns / 1ps
2199
// synthesis translate_on
2200
 
2201
// turn off superfluous verilog processor warnings 
2202
// altera message_level Level1 
2203
// altera message_off 10034 10035 10036 10037 10230 10240 10030 
2204
 
2205
module onchip_memory_0_s1_arbitrator (
2206
                                       // inputs:
2207
                                        clk,
2208
                                        hibi_pe_dma_0_avalon_master_1_address_to_slave,
2209
                                        hibi_pe_dma_0_avalon_master_1_latency_counter,
2210
                                        hibi_pe_dma_0_avalon_master_1_read,
2211
                                        hibi_pe_dma_0_avalon_master_address_to_slave,
2212
                                        hibi_pe_dma_0_avalon_master_byteenable,
2213
                                        hibi_pe_dma_0_avalon_master_write,
2214
                                        hibi_pe_dma_0_avalon_master_writedata,
2215
                                        onchip_memory_0_s1_readdata,
2216
                                        reset_n,
2217
 
2218
                                       // outputs:
2219
                                        d1_onchip_memory_0_s1_end_xfer,
2220
                                        hibi_pe_dma_0_avalon_master_1_granted_onchip_memory_0_s1,
2221
                                        hibi_pe_dma_0_avalon_master_1_qualified_request_onchip_memory_0_s1,
2222
                                        hibi_pe_dma_0_avalon_master_1_read_data_valid_onchip_memory_0_s1,
2223
                                        hibi_pe_dma_0_avalon_master_1_requests_onchip_memory_0_s1,
2224
                                        hibi_pe_dma_0_avalon_master_granted_onchip_memory_0_s1,
2225
                                        hibi_pe_dma_0_avalon_master_qualified_request_onchip_memory_0_s1,
2226
                                        hibi_pe_dma_0_avalon_master_requests_onchip_memory_0_s1,
2227
                                        onchip_memory_0_s1_address,
2228
                                        onchip_memory_0_s1_byteenable,
2229
                                        onchip_memory_0_s1_chipselect,
2230
                                        onchip_memory_0_s1_clken,
2231
                                        onchip_memory_0_s1_readdata_from_sa,
2232
                                        onchip_memory_0_s1_reset,
2233
                                        onchip_memory_0_s1_write,
2234
                                        onchip_memory_0_s1_writedata
2235
                                     )
2236
;
2237
 
2238
  output           d1_onchip_memory_0_s1_end_xfer;
2239
  output           hibi_pe_dma_0_avalon_master_1_granted_onchip_memory_0_s1;
2240
  output           hibi_pe_dma_0_avalon_master_1_qualified_request_onchip_memory_0_s1;
2241
  output           hibi_pe_dma_0_avalon_master_1_read_data_valid_onchip_memory_0_s1;
2242
  output           hibi_pe_dma_0_avalon_master_1_requests_onchip_memory_0_s1;
2243
  output           hibi_pe_dma_0_avalon_master_granted_onchip_memory_0_s1;
2244
  output           hibi_pe_dma_0_avalon_master_qualified_request_onchip_memory_0_s1;
2245
  output           hibi_pe_dma_0_avalon_master_requests_onchip_memory_0_s1;
2246
  output  [  8: 0] onchip_memory_0_s1_address;
2247
  output  [  3: 0] onchip_memory_0_s1_byteenable;
2248
  output           onchip_memory_0_s1_chipselect;
2249
  output           onchip_memory_0_s1_clken;
2250
  output  [ 31: 0] onchip_memory_0_s1_readdata_from_sa;
2251
  output           onchip_memory_0_s1_reset;
2252
  output           onchip_memory_0_s1_write;
2253
  output  [ 31: 0] onchip_memory_0_s1_writedata;
2254
  input            clk;
2255
  input   [ 31: 0] hibi_pe_dma_0_avalon_master_1_address_to_slave;
2256
  input            hibi_pe_dma_0_avalon_master_1_latency_counter;
2257
  input            hibi_pe_dma_0_avalon_master_1_read;
2258
  input   [ 31: 0] hibi_pe_dma_0_avalon_master_address_to_slave;
2259
  input   [  3: 0] hibi_pe_dma_0_avalon_master_byteenable;
2260
  input            hibi_pe_dma_0_avalon_master_write;
2261
  input   [ 31: 0] hibi_pe_dma_0_avalon_master_writedata;
2262
  input   [ 31: 0] onchip_memory_0_s1_readdata;
2263
  input            reset_n;
2264
 
2265
  reg              d1_onchip_memory_0_s1_end_xfer;
2266
  reg              d1_reasons_to_wait;
2267
  reg              enable_nonzero_assertions;
2268
  wire             end_xfer_arb_share_counter_term_onchip_memory_0_s1;
2269
  wire             hibi_pe_dma_0_avalon_master_1_arbiterlock;
2270
  wire             hibi_pe_dma_0_avalon_master_1_arbiterlock2;
2271
  wire             hibi_pe_dma_0_avalon_master_1_continuerequest;
2272
  wire             hibi_pe_dma_0_avalon_master_1_granted_onchip_memory_0_s1;
2273
  wire             hibi_pe_dma_0_avalon_master_1_qualified_request_onchip_memory_0_s1;
2274
  wire             hibi_pe_dma_0_avalon_master_1_read_data_valid_onchip_memory_0_s1;
2275
  reg              hibi_pe_dma_0_avalon_master_1_read_data_valid_onchip_memory_0_s1_shift_register;
2276
  wire             hibi_pe_dma_0_avalon_master_1_read_data_valid_onchip_memory_0_s1_shift_register_in;
2277
  wire             hibi_pe_dma_0_avalon_master_1_requests_onchip_memory_0_s1;
2278
  wire             hibi_pe_dma_0_avalon_master_1_saved_grant_onchip_memory_0_s1;
2279
  wire             hibi_pe_dma_0_avalon_master_arbiterlock;
2280
  wire             hibi_pe_dma_0_avalon_master_arbiterlock2;
2281
  wire             hibi_pe_dma_0_avalon_master_continuerequest;
2282
  wire             hibi_pe_dma_0_avalon_master_granted_onchip_memory_0_s1;
2283
  wire             hibi_pe_dma_0_avalon_master_qualified_request_onchip_memory_0_s1;
2284
  wire             hibi_pe_dma_0_avalon_master_requests_onchip_memory_0_s1;
2285
  wire             hibi_pe_dma_0_avalon_master_saved_grant_onchip_memory_0_s1;
2286
  wire             in_a_read_cycle;
2287
  wire             in_a_write_cycle;
2288
  reg              last_cycle_hibi_pe_dma_0_avalon_master_1_granted_slave_onchip_memory_0_s1;
2289
  reg              last_cycle_hibi_pe_dma_0_avalon_master_granted_slave_onchip_memory_0_s1;
2290
  wire    [  8: 0] onchip_memory_0_s1_address;
2291
  wire             onchip_memory_0_s1_allgrants;
2292
  wire             onchip_memory_0_s1_allow_new_arb_cycle;
2293
  wire             onchip_memory_0_s1_any_bursting_master_saved_grant;
2294
  wire             onchip_memory_0_s1_any_continuerequest;
2295
  reg     [  1: 0] onchip_memory_0_s1_arb_addend;
2296
  wire             onchip_memory_0_s1_arb_counter_enable;
2297
  reg              onchip_memory_0_s1_arb_share_counter;
2298
  wire             onchip_memory_0_s1_arb_share_counter_next_value;
2299
  wire             onchip_memory_0_s1_arb_share_set_values;
2300
  wire    [  1: 0] onchip_memory_0_s1_arb_winner;
2301
  wire             onchip_memory_0_s1_arbitration_holdoff_internal;
2302
  wire             onchip_memory_0_s1_beginbursttransfer_internal;
2303
  wire             onchip_memory_0_s1_begins_xfer;
2304
  wire    [  3: 0] onchip_memory_0_s1_byteenable;
2305
  wire             onchip_memory_0_s1_chipselect;
2306
  wire    [  3: 0] onchip_memory_0_s1_chosen_master_double_vector;
2307
  wire    [  1: 0] onchip_memory_0_s1_chosen_master_rot_left;
2308
  wire             onchip_memory_0_s1_clken;
2309
  wire             onchip_memory_0_s1_end_xfer;
2310
  wire             onchip_memory_0_s1_firsttransfer;
2311
  wire    [  1: 0] onchip_memory_0_s1_grant_vector;
2312
  wire             onchip_memory_0_s1_in_a_read_cycle;
2313
  wire             onchip_memory_0_s1_in_a_write_cycle;
2314
  wire    [  1: 0] onchip_memory_0_s1_master_qreq_vector;
2315
  wire             onchip_memory_0_s1_non_bursting_master_requests;
2316
  wire    [ 31: 0] onchip_memory_0_s1_readdata_from_sa;
2317
  reg              onchip_memory_0_s1_reg_firsttransfer;
2318
  wire             onchip_memory_0_s1_reset;
2319
  reg     [  1: 0] onchip_memory_0_s1_saved_chosen_master_vector;
2320
  reg              onchip_memory_0_s1_slavearbiterlockenable;
2321
  wire             onchip_memory_0_s1_slavearbiterlockenable2;
2322
  wire             onchip_memory_0_s1_unreg_firsttransfer;
2323
  wire             onchip_memory_0_s1_waits_for_read;
2324
  wire             onchip_memory_0_s1_waits_for_write;
2325
  wire             onchip_memory_0_s1_write;
2326
  wire    [ 31: 0] onchip_memory_0_s1_writedata;
2327
  wire             p1_hibi_pe_dma_0_avalon_master_1_read_data_valid_onchip_memory_0_s1_shift_register;
2328
  wire    [ 31: 0] shifted_address_to_onchip_memory_0_s1_from_hibi_pe_dma_0_avalon_master;
2329
  wire    [ 31: 0] shifted_address_to_onchip_memory_0_s1_from_hibi_pe_dma_0_avalon_master_1;
2330
  wire             wait_for_onchip_memory_0_s1_counter;
2331
  always @(posedge clk or negedge reset_n)
2332
    begin
2333
      if (reset_n == 0)
2334
          d1_reasons_to_wait <= 0;
2335
      else
2336
        d1_reasons_to_wait <= ~onchip_memory_0_s1_end_xfer;
2337
    end
2338
 
2339
 
2340
  assign onchip_memory_0_s1_begins_xfer = ~d1_reasons_to_wait & ((hibi_pe_dma_0_avalon_master_qualified_request_onchip_memory_0_s1 | hibi_pe_dma_0_avalon_master_1_qualified_request_onchip_memory_0_s1));
2341
  //assign onchip_memory_0_s1_readdata_from_sa = onchip_memory_0_s1_readdata so that symbol knows where to group signals which may go to master only, which is an e_assign
2342
  assign onchip_memory_0_s1_readdata_from_sa = onchip_memory_0_s1_readdata;
2343
 
2344
  assign hibi_pe_dma_0_avalon_master_requests_onchip_memory_0_s1 = (({hibi_pe_dma_0_avalon_master_address_to_slave[31 : 11] , 11'b0} == 32'h0) & (hibi_pe_dma_0_avalon_master_write)) & hibi_pe_dma_0_avalon_master_write;
2345
  //onchip_memory_0_s1_arb_share_counter set values, which is an e_mux
2346
  assign onchip_memory_0_s1_arb_share_set_values = 1;
2347
 
2348
  //onchip_memory_0_s1_non_bursting_master_requests mux, which is an e_mux
2349
  assign onchip_memory_0_s1_non_bursting_master_requests = hibi_pe_dma_0_avalon_master_requests_onchip_memory_0_s1 |
2350
    hibi_pe_dma_0_avalon_master_1_requests_onchip_memory_0_s1 |
2351
    hibi_pe_dma_0_avalon_master_requests_onchip_memory_0_s1 |
2352
    hibi_pe_dma_0_avalon_master_1_requests_onchip_memory_0_s1;
2353
 
2354
  //onchip_memory_0_s1_any_bursting_master_saved_grant mux, which is an e_mux
2355
  assign onchip_memory_0_s1_any_bursting_master_saved_grant = 0;
2356
 
2357
  //onchip_memory_0_s1_arb_share_counter_next_value assignment, which is an e_assign
2358
  assign onchip_memory_0_s1_arb_share_counter_next_value = onchip_memory_0_s1_firsttransfer ? (onchip_memory_0_s1_arb_share_set_values - 1) : |onchip_memory_0_s1_arb_share_counter ? (onchip_memory_0_s1_arb_share_counter - 1) : 0;
2359
 
2360
  //onchip_memory_0_s1_allgrants all slave grants, which is an e_mux
2361
  assign onchip_memory_0_s1_allgrants = (|onchip_memory_0_s1_grant_vector) |
2362
    (|onchip_memory_0_s1_grant_vector) |
2363
    (|onchip_memory_0_s1_grant_vector) |
2364
    (|onchip_memory_0_s1_grant_vector);
2365
 
2366
  //onchip_memory_0_s1_end_xfer assignment, which is an e_assign
2367
  assign onchip_memory_0_s1_end_xfer = ~(onchip_memory_0_s1_waits_for_read | onchip_memory_0_s1_waits_for_write);
2368
 
2369
  //end_xfer_arb_share_counter_term_onchip_memory_0_s1 arb share counter enable term, which is an e_assign
2370
  assign end_xfer_arb_share_counter_term_onchip_memory_0_s1 = onchip_memory_0_s1_end_xfer & (~onchip_memory_0_s1_any_bursting_master_saved_grant | in_a_read_cycle | in_a_write_cycle);
2371
 
2372
  //onchip_memory_0_s1_arb_share_counter arbitration counter enable, which is an e_assign
2373
  assign onchip_memory_0_s1_arb_counter_enable = (end_xfer_arb_share_counter_term_onchip_memory_0_s1 & onchip_memory_0_s1_allgrants) | (end_xfer_arb_share_counter_term_onchip_memory_0_s1 & ~onchip_memory_0_s1_non_bursting_master_requests);
2374
 
2375
  //onchip_memory_0_s1_arb_share_counter counter, which is an e_register
2376
  always @(posedge clk or negedge reset_n)
2377
    begin
2378
      if (reset_n == 0)
2379
          onchip_memory_0_s1_arb_share_counter <= 0;
2380
      else if (onchip_memory_0_s1_arb_counter_enable)
2381
          onchip_memory_0_s1_arb_share_counter <= onchip_memory_0_s1_arb_share_counter_next_value;
2382
    end
2383
 
2384
 
2385
  //onchip_memory_0_s1_slavearbiterlockenable slave enables arbiterlock, which is an e_register
2386
  always @(posedge clk or negedge reset_n)
2387
    begin
2388
      if (reset_n == 0)
2389
          onchip_memory_0_s1_slavearbiterlockenable <= 0;
2390
      else if ((|onchip_memory_0_s1_master_qreq_vector & end_xfer_arb_share_counter_term_onchip_memory_0_s1) | (end_xfer_arb_share_counter_term_onchip_memory_0_s1 & ~onchip_memory_0_s1_non_bursting_master_requests))
2391
          onchip_memory_0_s1_slavearbiterlockenable <= |onchip_memory_0_s1_arb_share_counter_next_value;
2392
    end
2393
 
2394
 
2395
  //hibi_pe_dma_0/avalon_master onchip_memory_0/s1 arbiterlock, which is an e_assign
2396
  assign hibi_pe_dma_0_avalon_master_arbiterlock = onchip_memory_0_s1_slavearbiterlockenable & hibi_pe_dma_0_avalon_master_continuerequest;
2397
 
2398
  //onchip_memory_0_s1_slavearbiterlockenable2 slave enables arbiterlock2, which is an e_assign
2399
  assign onchip_memory_0_s1_slavearbiterlockenable2 = |onchip_memory_0_s1_arb_share_counter_next_value;
2400
 
2401
  //hibi_pe_dma_0/avalon_master onchip_memory_0/s1 arbiterlock2, which is an e_assign
2402
  assign hibi_pe_dma_0_avalon_master_arbiterlock2 = onchip_memory_0_s1_slavearbiterlockenable2 & hibi_pe_dma_0_avalon_master_continuerequest;
2403
 
2404
  //hibi_pe_dma_0/avalon_master_1 onchip_memory_0/s1 arbiterlock, which is an e_assign
2405
  assign hibi_pe_dma_0_avalon_master_1_arbiterlock = onchip_memory_0_s1_slavearbiterlockenable & hibi_pe_dma_0_avalon_master_1_continuerequest;
2406
 
2407
  //hibi_pe_dma_0/avalon_master_1 onchip_memory_0/s1 arbiterlock2, which is an e_assign
2408
  assign hibi_pe_dma_0_avalon_master_1_arbiterlock2 = onchip_memory_0_s1_slavearbiterlockenable2 & hibi_pe_dma_0_avalon_master_1_continuerequest;
2409
 
2410
  //hibi_pe_dma_0/avalon_master_1 granted onchip_memory_0/s1 last time, which is an e_register
2411
  always @(posedge clk or negedge reset_n)
2412
    begin
2413
      if (reset_n == 0)
2414
          last_cycle_hibi_pe_dma_0_avalon_master_1_granted_slave_onchip_memory_0_s1 <= 0;
2415
      else
2416
        last_cycle_hibi_pe_dma_0_avalon_master_1_granted_slave_onchip_memory_0_s1 <= hibi_pe_dma_0_avalon_master_1_saved_grant_onchip_memory_0_s1 ? 1 : (onchip_memory_0_s1_arbitration_holdoff_internal | ~hibi_pe_dma_0_avalon_master_1_requests_onchip_memory_0_s1) ? 0 : last_cycle_hibi_pe_dma_0_avalon_master_1_granted_slave_onchip_memory_0_s1;
2417
    end
2418
 
2419
 
2420
  //hibi_pe_dma_0_avalon_master_1_continuerequest continued request, which is an e_mux
2421
  assign hibi_pe_dma_0_avalon_master_1_continuerequest = last_cycle_hibi_pe_dma_0_avalon_master_1_granted_slave_onchip_memory_0_s1 & hibi_pe_dma_0_avalon_master_1_requests_onchip_memory_0_s1;
2422
 
2423
  //onchip_memory_0_s1_any_continuerequest at least one master continues requesting, which is an e_mux
2424
  assign onchip_memory_0_s1_any_continuerequest = hibi_pe_dma_0_avalon_master_1_continuerequest |
2425
    hibi_pe_dma_0_avalon_master_continuerequest;
2426
 
2427
  assign hibi_pe_dma_0_avalon_master_qualified_request_onchip_memory_0_s1 = hibi_pe_dma_0_avalon_master_requests_onchip_memory_0_s1 & ~(hibi_pe_dma_0_avalon_master_1_arbiterlock);
2428
  //onchip_memory_0_s1_writedata mux, which is an e_mux
2429
  assign onchip_memory_0_s1_writedata = hibi_pe_dma_0_avalon_master_writedata;
2430
 
2431
  //mux onchip_memory_0_s1_clken, which is an e_mux
2432
  assign onchip_memory_0_s1_clken = 1'b1;
2433
 
2434
  assign hibi_pe_dma_0_avalon_master_1_requests_onchip_memory_0_s1 = (({hibi_pe_dma_0_avalon_master_1_address_to_slave[31 : 11] , 11'b0} == 32'h0) & (hibi_pe_dma_0_avalon_master_1_read)) & hibi_pe_dma_0_avalon_master_1_read;
2435
  //hibi_pe_dma_0/avalon_master granted onchip_memory_0/s1 last time, which is an e_register
2436
  always @(posedge clk or negedge reset_n)
2437
    begin
2438
      if (reset_n == 0)
2439
          last_cycle_hibi_pe_dma_0_avalon_master_granted_slave_onchip_memory_0_s1 <= 0;
2440
      else
2441
        last_cycle_hibi_pe_dma_0_avalon_master_granted_slave_onchip_memory_0_s1 <= hibi_pe_dma_0_avalon_master_saved_grant_onchip_memory_0_s1 ? 1 : (onchip_memory_0_s1_arbitration_holdoff_internal | ~hibi_pe_dma_0_avalon_master_requests_onchip_memory_0_s1) ? 0 : last_cycle_hibi_pe_dma_0_avalon_master_granted_slave_onchip_memory_0_s1;
2442
    end
2443
 
2444
 
2445
  //hibi_pe_dma_0_avalon_master_continuerequest continued request, which is an e_mux
2446
  assign hibi_pe_dma_0_avalon_master_continuerequest = last_cycle_hibi_pe_dma_0_avalon_master_granted_slave_onchip_memory_0_s1 & hibi_pe_dma_0_avalon_master_requests_onchip_memory_0_s1;
2447
 
2448
  assign hibi_pe_dma_0_avalon_master_1_qualified_request_onchip_memory_0_s1 = hibi_pe_dma_0_avalon_master_1_requests_onchip_memory_0_s1 & ~(hibi_pe_dma_0_avalon_master_arbiterlock);
2449
  //hibi_pe_dma_0_avalon_master_1_read_data_valid_onchip_memory_0_s1_shift_register_in mux for readlatency shift register, which is an e_mux
2450
  assign hibi_pe_dma_0_avalon_master_1_read_data_valid_onchip_memory_0_s1_shift_register_in = hibi_pe_dma_0_avalon_master_1_granted_onchip_memory_0_s1 & hibi_pe_dma_0_avalon_master_1_read & ~onchip_memory_0_s1_waits_for_read;
2451
 
2452
  //shift register p1 hibi_pe_dma_0_avalon_master_1_read_data_valid_onchip_memory_0_s1_shift_register in if flush, otherwise shift left, which is an e_mux
2453
  assign p1_hibi_pe_dma_0_avalon_master_1_read_data_valid_onchip_memory_0_s1_shift_register = {hibi_pe_dma_0_avalon_master_1_read_data_valid_onchip_memory_0_s1_shift_register, hibi_pe_dma_0_avalon_master_1_read_data_valid_onchip_memory_0_s1_shift_register_in};
2454
 
2455
  //hibi_pe_dma_0_avalon_master_1_read_data_valid_onchip_memory_0_s1_shift_register for remembering which master asked for a fixed latency read, which is an e_register
2456
  always @(posedge clk or negedge reset_n)
2457
    begin
2458
      if (reset_n == 0)
2459
          hibi_pe_dma_0_avalon_master_1_read_data_valid_onchip_memory_0_s1_shift_register <= 0;
2460
      else
2461
        hibi_pe_dma_0_avalon_master_1_read_data_valid_onchip_memory_0_s1_shift_register <= p1_hibi_pe_dma_0_avalon_master_1_read_data_valid_onchip_memory_0_s1_shift_register;
2462
    end
2463
 
2464
 
2465
  //local readdatavalid hibi_pe_dma_0_avalon_master_1_read_data_valid_onchip_memory_0_s1, which is an e_mux
2466
  assign hibi_pe_dma_0_avalon_master_1_read_data_valid_onchip_memory_0_s1 = hibi_pe_dma_0_avalon_master_1_read_data_valid_onchip_memory_0_s1_shift_register;
2467
 
2468
  //allow new arb cycle for onchip_memory_0/s1, which is an e_assign
2469
  assign onchip_memory_0_s1_allow_new_arb_cycle = ~hibi_pe_dma_0_avalon_master_arbiterlock & ~hibi_pe_dma_0_avalon_master_1_arbiterlock;
2470
 
2471
  //hibi_pe_dma_0/avalon_master_1 assignment into master qualified-requests vector for onchip_memory_0/s1, which is an e_assign
2472
  assign onchip_memory_0_s1_master_qreq_vector[0] = hibi_pe_dma_0_avalon_master_1_qualified_request_onchip_memory_0_s1;
2473
 
2474
  //hibi_pe_dma_0/avalon_master_1 grant onchip_memory_0/s1, which is an e_assign
2475
  assign hibi_pe_dma_0_avalon_master_1_granted_onchip_memory_0_s1 = onchip_memory_0_s1_grant_vector[0];
2476
 
2477
  //hibi_pe_dma_0/avalon_master_1 saved-grant onchip_memory_0/s1, which is an e_assign
2478
  assign hibi_pe_dma_0_avalon_master_1_saved_grant_onchip_memory_0_s1 = onchip_memory_0_s1_arb_winner[0] && hibi_pe_dma_0_avalon_master_1_requests_onchip_memory_0_s1;
2479
 
2480
  //hibi_pe_dma_0/avalon_master assignment into master qualified-requests vector for onchip_memory_0/s1, which is an e_assign
2481
  assign onchip_memory_0_s1_master_qreq_vector[1] = hibi_pe_dma_0_avalon_master_qualified_request_onchip_memory_0_s1;
2482
 
2483
  //hibi_pe_dma_0/avalon_master grant onchip_memory_0/s1, which is an e_assign
2484
  assign hibi_pe_dma_0_avalon_master_granted_onchip_memory_0_s1 = onchip_memory_0_s1_grant_vector[1];
2485
 
2486
  //hibi_pe_dma_0/avalon_master saved-grant onchip_memory_0/s1, which is an e_assign
2487
  assign hibi_pe_dma_0_avalon_master_saved_grant_onchip_memory_0_s1 = onchip_memory_0_s1_arb_winner[1] && hibi_pe_dma_0_avalon_master_requests_onchip_memory_0_s1;
2488
 
2489
  //onchip_memory_0/s1 chosen-master double-vector, which is an e_assign
2490
  assign onchip_memory_0_s1_chosen_master_double_vector = {onchip_memory_0_s1_master_qreq_vector, onchip_memory_0_s1_master_qreq_vector} & ({~onchip_memory_0_s1_master_qreq_vector, ~onchip_memory_0_s1_master_qreq_vector} + onchip_memory_0_s1_arb_addend);
2491
 
2492
  //stable onehot encoding of arb winner
2493
  assign onchip_memory_0_s1_arb_winner = (onchip_memory_0_s1_allow_new_arb_cycle & | onchip_memory_0_s1_grant_vector) ? onchip_memory_0_s1_grant_vector : onchip_memory_0_s1_saved_chosen_master_vector;
2494
 
2495
  //saved onchip_memory_0_s1_grant_vector, which is an e_register
2496
  always @(posedge clk or negedge reset_n)
2497
    begin
2498
      if (reset_n == 0)
2499
          onchip_memory_0_s1_saved_chosen_master_vector <= 0;
2500
      else if (onchip_memory_0_s1_allow_new_arb_cycle)
2501
          onchip_memory_0_s1_saved_chosen_master_vector <= |onchip_memory_0_s1_grant_vector ? onchip_memory_0_s1_grant_vector : onchip_memory_0_s1_saved_chosen_master_vector;
2502
    end
2503
 
2504
 
2505
  //onehot encoding of chosen master
2506
  assign onchip_memory_0_s1_grant_vector = {(onchip_memory_0_s1_chosen_master_double_vector[1] | onchip_memory_0_s1_chosen_master_double_vector[3]),
2507
    (onchip_memory_0_s1_chosen_master_double_vector[0] | onchip_memory_0_s1_chosen_master_double_vector[2])};
2508
 
2509
  //onchip_memory_0/s1 chosen master rotated left, which is an e_assign
2510
  assign onchip_memory_0_s1_chosen_master_rot_left = (onchip_memory_0_s1_arb_winner << 1) ? (onchip_memory_0_s1_arb_winner << 1) : 1;
2511
 
2512
  //onchip_memory_0/s1's addend for next-master-grant
2513
  always @(posedge clk or negedge reset_n)
2514
    begin
2515
      if (reset_n == 0)
2516
          onchip_memory_0_s1_arb_addend <= 1;
2517
      else if (|onchip_memory_0_s1_grant_vector)
2518
          onchip_memory_0_s1_arb_addend <= onchip_memory_0_s1_end_xfer? onchip_memory_0_s1_chosen_master_rot_left : onchip_memory_0_s1_grant_vector;
2519
    end
2520
 
2521
 
2522
  //~onchip_memory_0_s1_reset assignment, which is an e_assign
2523
  assign onchip_memory_0_s1_reset = ~reset_n;
2524
 
2525
  assign onchip_memory_0_s1_chipselect = hibi_pe_dma_0_avalon_master_granted_onchip_memory_0_s1 | hibi_pe_dma_0_avalon_master_1_granted_onchip_memory_0_s1;
2526
  //onchip_memory_0_s1_firsttransfer first transaction, which is an e_assign
2527
  assign onchip_memory_0_s1_firsttransfer = onchip_memory_0_s1_begins_xfer ? onchip_memory_0_s1_unreg_firsttransfer : onchip_memory_0_s1_reg_firsttransfer;
2528
 
2529
  //onchip_memory_0_s1_unreg_firsttransfer first transaction, which is an e_assign
2530
  assign onchip_memory_0_s1_unreg_firsttransfer = ~(onchip_memory_0_s1_slavearbiterlockenable & onchip_memory_0_s1_any_continuerequest);
2531
 
2532
  //onchip_memory_0_s1_reg_firsttransfer first transaction, which is an e_register
2533
  always @(posedge clk or negedge reset_n)
2534
    begin
2535
      if (reset_n == 0)
2536
          onchip_memory_0_s1_reg_firsttransfer <= 1'b1;
2537
      else if (onchip_memory_0_s1_begins_xfer)
2538
          onchip_memory_0_s1_reg_firsttransfer <= onchip_memory_0_s1_unreg_firsttransfer;
2539
    end
2540
 
2541
 
2542
  //onchip_memory_0_s1_beginbursttransfer_internal begin burst transfer, which is an e_assign
2543
  assign onchip_memory_0_s1_beginbursttransfer_internal = onchip_memory_0_s1_begins_xfer;
2544
 
2545
  //onchip_memory_0_s1_arbitration_holdoff_internal arbitration_holdoff, which is an e_assign
2546
  assign onchip_memory_0_s1_arbitration_holdoff_internal = onchip_memory_0_s1_begins_xfer & onchip_memory_0_s1_firsttransfer;
2547
 
2548
  //onchip_memory_0_s1_write assignment, which is an e_mux
2549
  assign onchip_memory_0_s1_write = hibi_pe_dma_0_avalon_master_granted_onchip_memory_0_s1 & hibi_pe_dma_0_avalon_master_write;
2550
 
2551
  assign shifted_address_to_onchip_memory_0_s1_from_hibi_pe_dma_0_avalon_master = hibi_pe_dma_0_avalon_master_address_to_slave;
2552
  //onchip_memory_0_s1_address mux, which is an e_mux
2553
  assign onchip_memory_0_s1_address = (hibi_pe_dma_0_avalon_master_granted_onchip_memory_0_s1)? (shifted_address_to_onchip_memory_0_s1_from_hibi_pe_dma_0_avalon_master >> 2) :
2554
    (shifted_address_to_onchip_memory_0_s1_from_hibi_pe_dma_0_avalon_master_1 >> 2);
2555
 
2556
  assign shifted_address_to_onchip_memory_0_s1_from_hibi_pe_dma_0_avalon_master_1 = hibi_pe_dma_0_avalon_master_1_address_to_slave;
2557
  //d1_onchip_memory_0_s1_end_xfer register, which is an e_register
2558
  always @(posedge clk or negedge reset_n)
2559
    begin
2560
      if (reset_n == 0)
2561
          d1_onchip_memory_0_s1_end_xfer <= 1;
2562
      else
2563
        d1_onchip_memory_0_s1_end_xfer <= onchip_memory_0_s1_end_xfer;
2564
    end
2565
 
2566
 
2567
  //onchip_memory_0_s1_waits_for_read in a cycle, which is an e_mux
2568
  assign onchip_memory_0_s1_waits_for_read = onchip_memory_0_s1_in_a_read_cycle & 0;
2569
 
2570
  //onchip_memory_0_s1_in_a_read_cycle assignment, which is an e_assign
2571
  assign onchip_memory_0_s1_in_a_read_cycle = hibi_pe_dma_0_avalon_master_1_granted_onchip_memory_0_s1 & hibi_pe_dma_0_avalon_master_1_read;
2572
 
2573
  //in_a_read_cycle assignment, which is an e_mux
2574
  assign in_a_read_cycle = onchip_memory_0_s1_in_a_read_cycle;
2575
 
2576
  //onchip_memory_0_s1_waits_for_write in a cycle, which is an e_mux
2577
  assign onchip_memory_0_s1_waits_for_write = onchip_memory_0_s1_in_a_write_cycle & 0;
2578
 
2579
  //onchip_memory_0_s1_in_a_write_cycle assignment, which is an e_assign
2580
  assign onchip_memory_0_s1_in_a_write_cycle = hibi_pe_dma_0_avalon_master_granted_onchip_memory_0_s1 & hibi_pe_dma_0_avalon_master_write;
2581
 
2582
  //in_a_write_cycle assignment, which is an e_mux
2583
  assign in_a_write_cycle = onchip_memory_0_s1_in_a_write_cycle;
2584
 
2585
  assign wait_for_onchip_memory_0_s1_counter = 0;
2586
  //onchip_memory_0_s1_byteenable byte enable port mux, which is an e_mux
2587
  assign onchip_memory_0_s1_byteenable = (hibi_pe_dma_0_avalon_master_granted_onchip_memory_0_s1)? hibi_pe_dma_0_avalon_master_byteenable :
2588
    -1;
2589
 
2590
 
2591
//synthesis translate_off
2592
//////////////// SIMULATION-ONLY CONTENTS
2593
  //onchip_memory_0/s1 enable non-zero assertions, which is an e_register
2594
  always @(posedge clk or negedge reset_n)
2595
    begin
2596
      if (reset_n == 0)
2597
          enable_nonzero_assertions <= 0;
2598
      else
2599
        enable_nonzero_assertions <= 1'b1;
2600
    end
2601
 
2602
 
2603
  //grant signals are active simultaneously, which is an e_process
2604
  always @(posedge clk)
2605
    begin
2606
      if (hibi_pe_dma_0_avalon_master_1_granted_onchip_memory_0_s1 + hibi_pe_dma_0_avalon_master_granted_onchip_memory_0_s1 > 1)
2607
        begin
2608
          $write("%0d ns: > 1 of grant signals are active simultaneously", $time);
2609
          $stop;
2610
        end
2611
    end
2612
 
2613
 
2614
  //saved_grant signals are active simultaneously, which is an e_process
2615
  always @(posedge clk)
2616
    begin
2617
      if (hibi_pe_dma_0_avalon_master_1_saved_grant_onchip_memory_0_s1 + hibi_pe_dma_0_avalon_master_saved_grant_onchip_memory_0_s1 > 1)
2618
        begin
2619
          $write("%0d ns: > 1 of saved_grant signals are active simultaneously", $time);
2620
          $stop;
2621
        end
2622
    end
2623
 
2624
 
2625
 
2626
//////////////// END SIMULATION-ONLY CONTENTS
2627
 
2628
//synthesis translate_on
2629
 
2630
endmodule
2631
 
2632
 
2633
// synthesis translate_off
2634
`timescale 1ns / 1ps
2635
// synthesis translate_on
2636
 
2637
// turn off superfluous verilog processor warnings 
2638
// altera message_level Level1 
2639
// altera message_off 10034 10035 10036 10037 10230 10240 10030 
2640
 
2641
module onchip_memory_0_s2_arbitrator (
2642
                                       // inputs:
2643
                                        clk,
2644
                                        cpu_0_data_master_address_to_slave,
2645
                                        cpu_0_data_master_byteenable,
2646
                                        cpu_0_data_master_latency_counter,
2647
                                        cpu_0_data_master_read,
2648
                                        cpu_0_data_master_write,
2649
                                        cpu_0_data_master_writedata,
2650
                                        cpu_0_instruction_master_address_to_slave,
2651
                                        cpu_0_instruction_master_latency_counter,
2652
                                        cpu_0_instruction_master_read,
2653
                                        onchip_memory_0_s2_readdata,
2654
                                        reset_n,
2655
 
2656
                                       // outputs:
2657
                                        cpu_0_data_master_granted_onchip_memory_0_s2,
2658
                                        cpu_0_data_master_qualified_request_onchip_memory_0_s2,
2659
                                        cpu_0_data_master_read_data_valid_onchip_memory_0_s2,
2660
                                        cpu_0_data_master_requests_onchip_memory_0_s2,
2661
                                        cpu_0_instruction_master_granted_onchip_memory_0_s2,
2662
                                        cpu_0_instruction_master_qualified_request_onchip_memory_0_s2,
2663
                                        cpu_0_instruction_master_read_data_valid_onchip_memory_0_s2,
2664
                                        cpu_0_instruction_master_requests_onchip_memory_0_s2,
2665
                                        d1_onchip_memory_0_s2_end_xfer,
2666
                                        onchip_memory_0_s2_address,
2667
                                        onchip_memory_0_s2_byteenable,
2668
                                        onchip_memory_0_s2_chipselect,
2669
                                        onchip_memory_0_s2_clken,
2670
                                        onchip_memory_0_s2_readdata_from_sa,
2671
                                        onchip_memory_0_s2_reset,
2672
                                        onchip_memory_0_s2_write,
2673
                                        onchip_memory_0_s2_writedata
2674
                                     )
2675
;
2676
 
2677
  output           cpu_0_data_master_granted_onchip_memory_0_s2;
2678
  output           cpu_0_data_master_qualified_request_onchip_memory_0_s2;
2679
  output           cpu_0_data_master_read_data_valid_onchip_memory_0_s2;
2680
  output           cpu_0_data_master_requests_onchip_memory_0_s2;
2681
  output           cpu_0_instruction_master_granted_onchip_memory_0_s2;
2682
  output           cpu_0_instruction_master_qualified_request_onchip_memory_0_s2;
2683
  output           cpu_0_instruction_master_read_data_valid_onchip_memory_0_s2;
2684
  output           cpu_0_instruction_master_requests_onchip_memory_0_s2;
2685
  output           d1_onchip_memory_0_s2_end_xfer;
2686
  output  [  8: 0] onchip_memory_0_s2_address;
2687
  output  [  3: 0] onchip_memory_0_s2_byteenable;
2688
  output           onchip_memory_0_s2_chipselect;
2689
  output           onchip_memory_0_s2_clken;
2690
  output  [ 31: 0] onchip_memory_0_s2_readdata_from_sa;
2691
  output           onchip_memory_0_s2_reset;
2692
  output           onchip_memory_0_s2_write;
2693
  output  [ 31: 0] onchip_memory_0_s2_writedata;
2694
  input            clk;
2695
  input   [ 20: 0] cpu_0_data_master_address_to_slave;
2696
  input   [  3: 0] cpu_0_data_master_byteenable;
2697
  input   [  1: 0] cpu_0_data_master_latency_counter;
2698
  input            cpu_0_data_master_read;
2699
  input            cpu_0_data_master_write;
2700
  input   [ 31: 0] cpu_0_data_master_writedata;
2701
  input   [ 20: 0] cpu_0_instruction_master_address_to_slave;
2702
  input   [  1: 0] cpu_0_instruction_master_latency_counter;
2703
  input            cpu_0_instruction_master_read;
2704
  input   [ 31: 0] onchip_memory_0_s2_readdata;
2705
  input            reset_n;
2706
 
2707
  wire             cpu_0_data_master_arbiterlock;
2708
  wire             cpu_0_data_master_arbiterlock2;
2709
  wire             cpu_0_data_master_continuerequest;
2710
  wire             cpu_0_data_master_granted_onchip_memory_0_s2;
2711
  wire             cpu_0_data_master_qualified_request_onchip_memory_0_s2;
2712
  wire             cpu_0_data_master_read_data_valid_onchip_memory_0_s2;
2713
  reg              cpu_0_data_master_read_data_valid_onchip_memory_0_s2_shift_register;
2714
  wire             cpu_0_data_master_read_data_valid_onchip_memory_0_s2_shift_register_in;
2715
  wire             cpu_0_data_master_requests_onchip_memory_0_s2;
2716
  wire             cpu_0_data_master_saved_grant_onchip_memory_0_s2;
2717
  wire             cpu_0_instruction_master_arbiterlock;
2718
  wire             cpu_0_instruction_master_arbiterlock2;
2719
  wire             cpu_0_instruction_master_continuerequest;
2720
  wire             cpu_0_instruction_master_granted_onchip_memory_0_s2;
2721
  wire             cpu_0_instruction_master_qualified_request_onchip_memory_0_s2;
2722
  wire             cpu_0_instruction_master_read_data_valid_onchip_memory_0_s2;
2723
  reg              cpu_0_instruction_master_read_data_valid_onchip_memory_0_s2_shift_register;
2724
  wire             cpu_0_instruction_master_read_data_valid_onchip_memory_0_s2_shift_register_in;
2725
  wire             cpu_0_instruction_master_requests_onchip_memory_0_s2;
2726
  wire             cpu_0_instruction_master_saved_grant_onchip_memory_0_s2;
2727
  reg              d1_onchip_memory_0_s2_end_xfer;
2728
  reg              d1_reasons_to_wait;
2729
  reg              enable_nonzero_assertions;
2730
  wire             end_xfer_arb_share_counter_term_onchip_memory_0_s2;
2731
  wire             in_a_read_cycle;
2732
  wire             in_a_write_cycle;
2733
  reg              last_cycle_cpu_0_data_master_granted_slave_onchip_memory_0_s2;
2734
  reg              last_cycle_cpu_0_instruction_master_granted_slave_onchip_memory_0_s2;
2735
  wire    [  8: 0] onchip_memory_0_s2_address;
2736
  wire             onchip_memory_0_s2_allgrants;
2737
  wire             onchip_memory_0_s2_allow_new_arb_cycle;
2738
  wire             onchip_memory_0_s2_any_bursting_master_saved_grant;
2739
  wire             onchip_memory_0_s2_any_continuerequest;
2740
  reg     [  1: 0] onchip_memory_0_s2_arb_addend;
2741
  wire             onchip_memory_0_s2_arb_counter_enable;
2742
  reg     [  1: 0] onchip_memory_0_s2_arb_share_counter;
2743
  wire    [  1: 0] onchip_memory_0_s2_arb_share_counter_next_value;
2744
  wire    [  1: 0] onchip_memory_0_s2_arb_share_set_values;
2745
  wire    [  1: 0] onchip_memory_0_s2_arb_winner;
2746
  wire             onchip_memory_0_s2_arbitration_holdoff_internal;
2747
  wire             onchip_memory_0_s2_beginbursttransfer_internal;
2748
  wire             onchip_memory_0_s2_begins_xfer;
2749
  wire    [  3: 0] onchip_memory_0_s2_byteenable;
2750
  wire             onchip_memory_0_s2_chipselect;
2751
  wire    [  3: 0] onchip_memory_0_s2_chosen_master_double_vector;
2752
  wire    [  1: 0] onchip_memory_0_s2_chosen_master_rot_left;
2753
  wire             onchip_memory_0_s2_clken;
2754
  wire             onchip_memory_0_s2_end_xfer;
2755
  wire             onchip_memory_0_s2_firsttransfer;
2756
  wire    [  1: 0] onchip_memory_0_s2_grant_vector;
2757
  wire             onchip_memory_0_s2_in_a_read_cycle;
2758
  wire             onchip_memory_0_s2_in_a_write_cycle;
2759
  wire    [  1: 0] onchip_memory_0_s2_master_qreq_vector;
2760
  wire             onchip_memory_0_s2_non_bursting_master_requests;
2761
  wire    [ 31: 0] onchip_memory_0_s2_readdata_from_sa;
2762
  reg              onchip_memory_0_s2_reg_firsttransfer;
2763
  wire             onchip_memory_0_s2_reset;
2764
  reg     [  1: 0] onchip_memory_0_s2_saved_chosen_master_vector;
2765
  reg              onchip_memory_0_s2_slavearbiterlockenable;
2766
  wire             onchip_memory_0_s2_slavearbiterlockenable2;
2767
  wire             onchip_memory_0_s2_unreg_firsttransfer;
2768
  wire             onchip_memory_0_s2_waits_for_read;
2769
  wire             onchip_memory_0_s2_waits_for_write;
2770
  wire             onchip_memory_0_s2_write;
2771
  wire    [ 31: 0] onchip_memory_0_s2_writedata;
2772
  wire             p1_cpu_0_data_master_read_data_valid_onchip_memory_0_s2_shift_register;
2773
  wire             p1_cpu_0_instruction_master_read_data_valid_onchip_memory_0_s2_shift_register;
2774
  wire    [ 20: 0] shifted_address_to_onchip_memory_0_s2_from_cpu_0_data_master;
2775
  wire    [ 20: 0] shifted_address_to_onchip_memory_0_s2_from_cpu_0_instruction_master;
2776
  wire             wait_for_onchip_memory_0_s2_counter;
2777
  always @(posedge clk or negedge reset_n)
2778
    begin
2779
      if (reset_n == 0)
2780
          d1_reasons_to_wait <= 0;
2781
      else
2782
        d1_reasons_to_wait <= ~onchip_memory_0_s2_end_xfer;
2783
    end
2784
 
2785
 
2786
  assign onchip_memory_0_s2_begins_xfer = ~d1_reasons_to_wait & ((cpu_0_data_master_qualified_request_onchip_memory_0_s2 | cpu_0_instruction_master_qualified_request_onchip_memory_0_s2));
2787
  //assign onchip_memory_0_s2_readdata_from_sa = onchip_memory_0_s2_readdata so that symbol knows where to group signals which may go to master only, which is an e_assign
2788
  assign onchip_memory_0_s2_readdata_from_sa = onchip_memory_0_s2_readdata;
2789
 
2790
  assign cpu_0_data_master_requests_onchip_memory_0_s2 = ({cpu_0_data_master_address_to_slave[20 : 11] , 11'b0} == 21'h100800) & (cpu_0_data_master_read | cpu_0_data_master_write);
2791
  //onchip_memory_0_s2_arb_share_counter set values, which is an e_mux
2792
  assign onchip_memory_0_s2_arb_share_set_values = 1;
2793
 
2794
  //onchip_memory_0_s2_non_bursting_master_requests mux, which is an e_mux
2795
  assign onchip_memory_0_s2_non_bursting_master_requests = cpu_0_data_master_requests_onchip_memory_0_s2 |
2796
    cpu_0_instruction_master_requests_onchip_memory_0_s2 |
2797
    cpu_0_data_master_requests_onchip_memory_0_s2 |
2798
    cpu_0_instruction_master_requests_onchip_memory_0_s2;
2799
 
2800
  //onchip_memory_0_s2_any_bursting_master_saved_grant mux, which is an e_mux
2801
  assign onchip_memory_0_s2_any_bursting_master_saved_grant = 0;
2802
 
2803
  //onchip_memory_0_s2_arb_share_counter_next_value assignment, which is an e_assign
2804
  assign onchip_memory_0_s2_arb_share_counter_next_value = onchip_memory_0_s2_firsttransfer ? (onchip_memory_0_s2_arb_share_set_values - 1) : |onchip_memory_0_s2_arb_share_counter ? (onchip_memory_0_s2_arb_share_counter - 1) : 0;
2805
 
2806
  //onchip_memory_0_s2_allgrants all slave grants, which is an e_mux
2807
  assign onchip_memory_0_s2_allgrants = (|onchip_memory_0_s2_grant_vector) |
2808
    (|onchip_memory_0_s2_grant_vector) |
2809
    (|onchip_memory_0_s2_grant_vector) |
2810
    (|onchip_memory_0_s2_grant_vector);
2811
 
2812
  //onchip_memory_0_s2_end_xfer assignment, which is an e_assign
2813
  assign onchip_memory_0_s2_end_xfer = ~(onchip_memory_0_s2_waits_for_read | onchip_memory_0_s2_waits_for_write);
2814
 
2815
  //end_xfer_arb_share_counter_term_onchip_memory_0_s2 arb share counter enable term, which is an e_assign
2816
  assign end_xfer_arb_share_counter_term_onchip_memory_0_s2 = onchip_memory_0_s2_end_xfer & (~onchip_memory_0_s2_any_bursting_master_saved_grant | in_a_read_cycle | in_a_write_cycle);
2817
 
2818
  //onchip_memory_0_s2_arb_share_counter arbitration counter enable, which is an e_assign
2819
  assign onchip_memory_0_s2_arb_counter_enable = (end_xfer_arb_share_counter_term_onchip_memory_0_s2 & onchip_memory_0_s2_allgrants) | (end_xfer_arb_share_counter_term_onchip_memory_0_s2 & ~onchip_memory_0_s2_non_bursting_master_requests);
2820
 
2821
  //onchip_memory_0_s2_arb_share_counter counter, which is an e_register
2822
  always @(posedge clk or negedge reset_n)
2823
    begin
2824
      if (reset_n == 0)
2825
          onchip_memory_0_s2_arb_share_counter <= 0;
2826
      else if (onchip_memory_0_s2_arb_counter_enable)
2827
          onchip_memory_0_s2_arb_share_counter <= onchip_memory_0_s2_arb_share_counter_next_value;
2828
    end
2829
 
2830
 
2831
  //onchip_memory_0_s2_slavearbiterlockenable slave enables arbiterlock, which is an e_register
2832
  always @(posedge clk or negedge reset_n)
2833
    begin
2834
      if (reset_n == 0)
2835
          onchip_memory_0_s2_slavearbiterlockenable <= 0;
2836
      else if ((|onchip_memory_0_s2_master_qreq_vector & end_xfer_arb_share_counter_term_onchip_memory_0_s2) | (end_xfer_arb_share_counter_term_onchip_memory_0_s2 & ~onchip_memory_0_s2_non_bursting_master_requests))
2837
          onchip_memory_0_s2_slavearbiterlockenable <= |onchip_memory_0_s2_arb_share_counter_next_value;
2838
    end
2839
 
2840
 
2841
  //cpu_0/data_master onchip_memory_0/s2 arbiterlock, which is an e_assign
2842
  assign cpu_0_data_master_arbiterlock = onchip_memory_0_s2_slavearbiterlockenable & cpu_0_data_master_continuerequest;
2843
 
2844
  //onchip_memory_0_s2_slavearbiterlockenable2 slave enables arbiterlock2, which is an e_assign
2845
  assign onchip_memory_0_s2_slavearbiterlockenable2 = |onchip_memory_0_s2_arb_share_counter_next_value;
2846
 
2847
  //cpu_0/data_master onchip_memory_0/s2 arbiterlock2, which is an e_assign
2848
  assign cpu_0_data_master_arbiterlock2 = onchip_memory_0_s2_slavearbiterlockenable2 & cpu_0_data_master_continuerequest;
2849
 
2850
  //cpu_0/instruction_master onchip_memory_0/s2 arbiterlock, which is an e_assign
2851
  assign cpu_0_instruction_master_arbiterlock = onchip_memory_0_s2_slavearbiterlockenable & cpu_0_instruction_master_continuerequest;
2852
 
2853
  //cpu_0/instruction_master onchip_memory_0/s2 arbiterlock2, which is an e_assign
2854
  assign cpu_0_instruction_master_arbiterlock2 = onchip_memory_0_s2_slavearbiterlockenable2 & cpu_0_instruction_master_continuerequest;
2855
 
2856
  //cpu_0/instruction_master granted onchip_memory_0/s2 last time, which is an e_register
2857
  always @(posedge clk or negedge reset_n)
2858
    begin
2859
      if (reset_n == 0)
2860
          last_cycle_cpu_0_instruction_master_granted_slave_onchip_memory_0_s2 <= 0;
2861
      else
2862
        last_cycle_cpu_0_instruction_master_granted_slave_onchip_memory_0_s2 <= cpu_0_instruction_master_saved_grant_onchip_memory_0_s2 ? 1 : (onchip_memory_0_s2_arbitration_holdoff_internal | ~cpu_0_instruction_master_requests_onchip_memory_0_s2) ? 0 : last_cycle_cpu_0_instruction_master_granted_slave_onchip_memory_0_s2;
2863
    end
2864
 
2865
 
2866
  //cpu_0_instruction_master_continuerequest continued request, which is an e_mux
2867
  assign cpu_0_instruction_master_continuerequest = last_cycle_cpu_0_instruction_master_granted_slave_onchip_memory_0_s2 & cpu_0_instruction_master_requests_onchip_memory_0_s2;
2868
 
2869
  //onchip_memory_0_s2_any_continuerequest at least one master continues requesting, which is an e_mux
2870
  assign onchip_memory_0_s2_any_continuerequest = cpu_0_instruction_master_continuerequest |
2871
    cpu_0_data_master_continuerequest;
2872
 
2873
  assign cpu_0_data_master_qualified_request_onchip_memory_0_s2 = cpu_0_data_master_requests_onchip_memory_0_s2 & ~((cpu_0_data_master_read & ((1 < cpu_0_data_master_latency_counter))) | cpu_0_instruction_master_arbiterlock);
2874
  //cpu_0_data_master_read_data_valid_onchip_memory_0_s2_shift_register_in mux for readlatency shift register, which is an e_mux
2875
  assign cpu_0_data_master_read_data_valid_onchip_memory_0_s2_shift_register_in = cpu_0_data_master_granted_onchip_memory_0_s2 & cpu_0_data_master_read & ~onchip_memory_0_s2_waits_for_read;
2876
 
2877
  //shift register p1 cpu_0_data_master_read_data_valid_onchip_memory_0_s2_shift_register in if flush, otherwise shift left, which is an e_mux
2878
  assign p1_cpu_0_data_master_read_data_valid_onchip_memory_0_s2_shift_register = {cpu_0_data_master_read_data_valid_onchip_memory_0_s2_shift_register, cpu_0_data_master_read_data_valid_onchip_memory_0_s2_shift_register_in};
2879
 
2880
  //cpu_0_data_master_read_data_valid_onchip_memory_0_s2_shift_register for remembering which master asked for a fixed latency read, which is an e_register
2881
  always @(posedge clk or negedge reset_n)
2882
    begin
2883
      if (reset_n == 0)
2884
          cpu_0_data_master_read_data_valid_onchip_memory_0_s2_shift_register <= 0;
2885
      else
2886
        cpu_0_data_master_read_data_valid_onchip_memory_0_s2_shift_register <= p1_cpu_0_data_master_read_data_valid_onchip_memory_0_s2_shift_register;
2887
    end
2888
 
2889
 
2890
  //local readdatavalid cpu_0_data_master_read_data_valid_onchip_memory_0_s2, which is an e_mux
2891
  assign cpu_0_data_master_read_data_valid_onchip_memory_0_s2 = cpu_0_data_master_read_data_valid_onchip_memory_0_s2_shift_register;
2892
 
2893
  //onchip_memory_0_s2_writedata mux, which is an e_mux
2894
  assign onchip_memory_0_s2_writedata = cpu_0_data_master_writedata;
2895
 
2896
  //mux onchip_memory_0_s2_clken, which is an e_mux
2897
  assign onchip_memory_0_s2_clken = 1'b1;
2898
 
2899
  assign cpu_0_instruction_master_requests_onchip_memory_0_s2 = (({cpu_0_instruction_master_address_to_slave[20 : 11] , 11'b0} == 21'h100800) & (cpu_0_instruction_master_read)) & cpu_0_instruction_master_read;
2900
  //cpu_0/data_master granted onchip_memory_0/s2 last time, which is an e_register
2901
  always @(posedge clk or negedge reset_n)
2902
    begin
2903
      if (reset_n == 0)
2904
          last_cycle_cpu_0_data_master_granted_slave_onchip_memory_0_s2 <= 0;
2905
      else
2906
        last_cycle_cpu_0_data_master_granted_slave_onchip_memory_0_s2 <= cpu_0_data_master_saved_grant_onchip_memory_0_s2 ? 1 : (onchip_memory_0_s2_arbitration_holdoff_internal | ~cpu_0_data_master_requests_onchip_memory_0_s2) ? 0 : last_cycle_cpu_0_data_master_granted_slave_onchip_memory_0_s2;
2907
    end
2908
 
2909
 
2910
  //cpu_0_data_master_continuerequest continued request, which is an e_mux
2911
  assign cpu_0_data_master_continuerequest = last_cycle_cpu_0_data_master_granted_slave_onchip_memory_0_s2 & cpu_0_data_master_requests_onchip_memory_0_s2;
2912
 
2913
  assign cpu_0_instruction_master_qualified_request_onchip_memory_0_s2 = cpu_0_instruction_master_requests_onchip_memory_0_s2 & ~((cpu_0_instruction_master_read & ((1 < cpu_0_instruction_master_latency_counter))) | cpu_0_data_master_arbiterlock);
2914
  //cpu_0_instruction_master_read_data_valid_onchip_memory_0_s2_shift_register_in mux for readlatency shift register, which is an e_mux
2915
  assign cpu_0_instruction_master_read_data_valid_onchip_memory_0_s2_shift_register_in = cpu_0_instruction_master_granted_onchip_memory_0_s2 & cpu_0_instruction_master_read & ~onchip_memory_0_s2_waits_for_read;
2916
 
2917
  //shift register p1 cpu_0_instruction_master_read_data_valid_onchip_memory_0_s2_shift_register in if flush, otherwise shift left, which is an e_mux
2918
  assign p1_cpu_0_instruction_master_read_data_valid_onchip_memory_0_s2_shift_register = {cpu_0_instruction_master_read_data_valid_onchip_memory_0_s2_shift_register, cpu_0_instruction_master_read_data_valid_onchip_memory_0_s2_shift_register_in};
2919
 
2920
  //cpu_0_instruction_master_read_data_valid_onchip_memory_0_s2_shift_register for remembering which master asked for a fixed latency read, which is an e_register
2921
  always @(posedge clk or negedge reset_n)
2922
    begin
2923
      if (reset_n == 0)
2924
          cpu_0_instruction_master_read_data_valid_onchip_memory_0_s2_shift_register <= 0;
2925
      else
2926
        cpu_0_instruction_master_read_data_valid_onchip_memory_0_s2_shift_register <= p1_cpu_0_instruction_master_read_data_valid_onchip_memory_0_s2_shift_register;
2927
    end
2928
 
2929
 
2930
  //local readdatavalid cpu_0_instruction_master_read_data_valid_onchip_memory_0_s2, which is an e_mux
2931
  assign cpu_0_instruction_master_read_data_valid_onchip_memory_0_s2 = cpu_0_instruction_master_read_data_valid_onchip_memory_0_s2_shift_register;
2932
 
2933
  //allow new arb cycle for onchip_memory_0/s2, which is an e_assign
2934
  assign onchip_memory_0_s2_allow_new_arb_cycle = ~cpu_0_data_master_arbiterlock & ~cpu_0_instruction_master_arbiterlock;
2935
 
2936
  //cpu_0/instruction_master assignment into master qualified-requests vector for onchip_memory_0/s2, which is an e_assign
2937
  assign onchip_memory_0_s2_master_qreq_vector[0] = cpu_0_instruction_master_qualified_request_onchip_memory_0_s2;
2938
 
2939
  //cpu_0/instruction_master grant onchip_memory_0/s2, which is an e_assign
2940
  assign cpu_0_instruction_master_granted_onchip_memory_0_s2 = onchip_memory_0_s2_grant_vector[0];
2941
 
2942
  //cpu_0/instruction_master saved-grant onchip_memory_0/s2, which is an e_assign
2943
  assign cpu_0_instruction_master_saved_grant_onchip_memory_0_s2 = onchip_memory_0_s2_arb_winner[0] && cpu_0_instruction_master_requests_onchip_memory_0_s2;
2944
 
2945
  //cpu_0/data_master assignment into master qualified-requests vector for onchip_memory_0/s2, which is an e_assign
2946
  assign onchip_memory_0_s2_master_qreq_vector[1] = cpu_0_data_master_qualified_request_onchip_memory_0_s2;
2947
 
2948
  //cpu_0/data_master grant onchip_memory_0/s2, which is an e_assign
2949
  assign cpu_0_data_master_granted_onchip_memory_0_s2 = onchip_memory_0_s2_grant_vector[1];
2950
 
2951
  //cpu_0/data_master saved-grant onchip_memory_0/s2, which is an e_assign
2952
  assign cpu_0_data_master_saved_grant_onchip_memory_0_s2 = onchip_memory_0_s2_arb_winner[1] && cpu_0_data_master_requests_onchip_memory_0_s2;
2953
 
2954
  //onchip_memory_0/s2 chosen-master double-vector, which is an e_assign
2955
  assign onchip_memory_0_s2_chosen_master_double_vector = {onchip_memory_0_s2_master_qreq_vector, onchip_memory_0_s2_master_qreq_vector} & ({~onchip_memory_0_s2_master_qreq_vector, ~onchip_memory_0_s2_master_qreq_vector} + onchip_memory_0_s2_arb_addend);
2956
 
2957
  //stable onehot encoding of arb winner
2958
  assign onchip_memory_0_s2_arb_winner = (onchip_memory_0_s2_allow_new_arb_cycle & | onchip_memory_0_s2_grant_vector) ? onchip_memory_0_s2_grant_vector : onchip_memory_0_s2_saved_chosen_master_vector;
2959
 
2960
  //saved onchip_memory_0_s2_grant_vector, which is an e_register
2961
  always @(posedge clk or negedge reset_n)
2962
    begin
2963
      if (reset_n == 0)
2964
          onchip_memory_0_s2_saved_chosen_master_vector <= 0;
2965
      else if (onchip_memory_0_s2_allow_new_arb_cycle)
2966
          onchip_memory_0_s2_saved_chosen_master_vector <= |onchip_memory_0_s2_grant_vector ? onchip_memory_0_s2_grant_vector : onchip_memory_0_s2_saved_chosen_master_vector;
2967
    end
2968
 
2969
 
2970
  //onehot encoding of chosen master
2971
  assign onchip_memory_0_s2_grant_vector = {(onchip_memory_0_s2_chosen_master_double_vector[1] | onchip_memory_0_s2_chosen_master_double_vector[3]),
2972
    (onchip_memory_0_s2_chosen_master_double_vector[0] | onchip_memory_0_s2_chosen_master_double_vector[2])};
2973
 
2974
  //onchip_memory_0/s2 chosen master rotated left, which is an e_assign
2975
  assign onchip_memory_0_s2_chosen_master_rot_left = (onchip_memory_0_s2_arb_winner << 1) ? (onchip_memory_0_s2_arb_winner << 1) : 1;
2976
 
2977
  //onchip_memory_0/s2's addend for next-master-grant
2978
  always @(posedge clk or negedge reset_n)
2979
    begin
2980
      if (reset_n == 0)
2981
          onchip_memory_0_s2_arb_addend <= 1;
2982
      else if (|onchip_memory_0_s2_grant_vector)
2983
          onchip_memory_0_s2_arb_addend <= onchip_memory_0_s2_end_xfer? onchip_memory_0_s2_chosen_master_rot_left : onchip_memory_0_s2_grant_vector;
2984
    end
2985
 
2986
 
2987
  //~onchip_memory_0_s2_reset assignment, which is an e_assign
2988
  assign onchip_memory_0_s2_reset = ~reset_n;
2989
 
2990
  assign onchip_memory_0_s2_chipselect = cpu_0_data_master_granted_onchip_memory_0_s2 | cpu_0_instruction_master_granted_onchip_memory_0_s2;
2991
  //onchip_memory_0_s2_firsttransfer first transaction, which is an e_assign
2992
  assign onchip_memory_0_s2_firsttransfer = onchip_memory_0_s2_begins_xfer ? onchip_memory_0_s2_unreg_firsttransfer : onchip_memory_0_s2_reg_firsttransfer;
2993
 
2994
  //onchip_memory_0_s2_unreg_firsttransfer first transaction, which is an e_assign
2995
  assign onchip_memory_0_s2_unreg_firsttransfer = ~(onchip_memory_0_s2_slavearbiterlockenable & onchip_memory_0_s2_any_continuerequest);
2996
 
2997
  //onchip_memory_0_s2_reg_firsttransfer first transaction, which is an e_register
2998
  always @(posedge clk or negedge reset_n)
2999
    begin
3000
      if (reset_n == 0)
3001
          onchip_memory_0_s2_reg_firsttransfer <= 1'b1;
3002
      else if (onchip_memory_0_s2_begins_xfer)
3003
          onchip_memory_0_s2_reg_firsttransfer <= onchip_memory_0_s2_unreg_firsttransfer;
3004
    end
3005
 
3006
 
3007
  //onchip_memory_0_s2_beginbursttransfer_internal begin burst transfer, which is an e_assign
3008
  assign onchip_memory_0_s2_beginbursttransfer_internal = onchip_memory_0_s2_begins_xfer;
3009
 
3010
  //onchip_memory_0_s2_arbitration_holdoff_internal arbitration_holdoff, which is an e_assign
3011
  assign onchip_memory_0_s2_arbitration_holdoff_internal = onchip_memory_0_s2_begins_xfer & onchip_memory_0_s2_firsttransfer;
3012
 
3013
  //onchip_memory_0_s2_write assignment, which is an e_mux
3014
  assign onchip_memory_0_s2_write = cpu_0_data_master_granted_onchip_memory_0_s2 & cpu_0_data_master_write;
3015
 
3016
  assign shifted_address_to_onchip_memory_0_s2_from_cpu_0_data_master = cpu_0_data_master_address_to_slave;
3017
  //onchip_memory_0_s2_address mux, which is an e_mux
3018
  assign onchip_memory_0_s2_address = (cpu_0_data_master_granted_onchip_memory_0_s2)? (shifted_address_to_onchip_memory_0_s2_from_cpu_0_data_master >> 2) :
3019
    (shifted_address_to_onchip_memory_0_s2_from_cpu_0_instruction_master >> 2);
3020
 
3021
  assign shifted_address_to_onchip_memory_0_s2_from_cpu_0_instruction_master = cpu_0_instruction_master_address_to_slave;
3022
  //d1_onchip_memory_0_s2_end_xfer register, which is an e_register
3023
  always @(posedge clk or negedge reset_n)
3024
    begin
3025
      if (reset_n == 0)
3026
          d1_onchip_memory_0_s2_end_xfer <= 1;
3027
      else
3028
        d1_onchip_memory_0_s2_end_xfer <= onchip_memory_0_s2_end_xfer;
3029
    end
3030
 
3031
 
3032
  //onchip_memory_0_s2_waits_for_read in a cycle, which is an e_mux
3033
  assign onchip_memory_0_s2_waits_for_read = onchip_memory_0_s2_in_a_read_cycle & 0;
3034
 
3035
  //onchip_memory_0_s2_in_a_read_cycle assignment, which is an e_assign
3036
  assign onchip_memory_0_s2_in_a_read_cycle = (cpu_0_data_master_granted_onchip_memory_0_s2 & cpu_0_data_master_read) | (cpu_0_instruction_master_granted_onchip_memory_0_s2 & cpu_0_instruction_master_read);
3037
 
3038
  //in_a_read_cycle assignment, which is an e_mux
3039
  assign in_a_read_cycle = onchip_memory_0_s2_in_a_read_cycle;
3040
 
3041
  //onchip_memory_0_s2_waits_for_write in a cycle, which is an e_mux
3042
  assign onchip_memory_0_s2_waits_for_write = onchip_memory_0_s2_in_a_write_cycle & 0;
3043
 
3044
  //onchip_memory_0_s2_in_a_write_cycle assignment, which is an e_assign
3045
  assign onchip_memory_0_s2_in_a_write_cycle = cpu_0_data_master_granted_onchip_memory_0_s2 & cpu_0_data_master_write;
3046
 
3047
  //in_a_write_cycle assignment, which is an e_mux
3048
  assign in_a_write_cycle = onchip_memory_0_s2_in_a_write_cycle;
3049
 
3050
  assign wait_for_onchip_memory_0_s2_counter = 0;
3051
  //onchip_memory_0_s2_byteenable byte enable port mux, which is an e_mux
3052
  assign onchip_memory_0_s2_byteenable = (cpu_0_data_master_granted_onchip_memory_0_s2)? cpu_0_data_master_byteenable :
3053
    -1;
3054
 
3055
 
3056
//synthesis translate_off
3057
//////////////// SIMULATION-ONLY CONTENTS
3058
  //onchip_memory_0/s2 enable non-zero assertions, which is an e_register
3059
  always @(posedge clk or negedge reset_n)
3060
    begin
3061
      if (reset_n == 0)
3062
          enable_nonzero_assertions <= 0;
3063
      else
3064
        enable_nonzero_assertions <= 1'b1;
3065
    end
3066
 
3067
 
3068
  //grant signals are active simultaneously, which is an e_process
3069
  always @(posedge clk)
3070
    begin
3071
      if (cpu_0_data_master_granted_onchip_memory_0_s2 + cpu_0_instruction_master_granted_onchip_memory_0_s2 > 1)
3072
        begin
3073
          $write("%0d ns: > 1 of grant signals are active simultaneously", $time);
3074
          $stop;
3075
        end
3076
    end
3077
 
3078
 
3079
  //saved_grant signals are active simultaneously, which is an e_process
3080
  always @(posedge clk)
3081
    begin
3082
      if (cpu_0_data_master_saved_grant_onchip_memory_0_s2 + cpu_0_instruction_master_saved_grant_onchip_memory_0_s2 > 1)
3083
        begin
3084
          $write("%0d ns: > 1 of saved_grant signals are active simultaneously", $time);
3085
          $stop;
3086
        end
3087
    end
3088
 
3089
 
3090
 
3091
//////////////// END SIMULATION-ONLY CONTENTS
3092
 
3093
//synthesis translate_on
3094
 
3095
endmodule
3096
 
3097
 
3098
// synthesis translate_off
3099
`timescale 1ns / 1ps
3100
// synthesis translate_on
3101
 
3102
// turn off superfluous verilog processor warnings 
3103
// altera message_level Level1 
3104
// altera message_off 10034 10035 10036 10037 10230 10240 10030 
3105
 
3106
module sram_0_avalon_sram_slave_arbitrator (
3107
                                             // inputs:
3108
                                              clk,
3109
                                              cpu_0_data_master_address_to_slave,
3110
                                              cpu_0_data_master_byteenable,
3111
                                              cpu_0_data_master_dbs_address,
3112
                                              cpu_0_data_master_dbs_write_16,
3113
                                              cpu_0_data_master_latency_counter,
3114
                                              cpu_0_data_master_read,
3115
                                              cpu_0_data_master_write,
3116
                                              cpu_0_instruction_master_address_to_slave,
3117
                                              cpu_0_instruction_master_dbs_address,
3118
                                              cpu_0_instruction_master_latency_counter,
3119
                                              cpu_0_instruction_master_read,
3120
                                              reset_n,
3121
                                              sram_0_avalon_sram_slave_readdata,
3122
 
3123
                                             // outputs:
3124
                                              cpu_0_data_master_byteenable_sram_0_avalon_sram_slave,
3125
                                              cpu_0_data_master_granted_sram_0_avalon_sram_slave,
3126
                                              cpu_0_data_master_qualified_request_sram_0_avalon_sram_slave,
3127
                                              cpu_0_data_master_read_data_valid_sram_0_avalon_sram_slave,
3128
                                              cpu_0_data_master_requests_sram_0_avalon_sram_slave,
3129
                                              cpu_0_instruction_master_granted_sram_0_avalon_sram_slave,
3130
                                              cpu_0_instruction_master_qualified_request_sram_0_avalon_sram_slave,
3131
                                              cpu_0_instruction_master_read_data_valid_sram_0_avalon_sram_slave,
3132
                                              cpu_0_instruction_master_requests_sram_0_avalon_sram_slave,
3133
                                              d1_sram_0_avalon_sram_slave_end_xfer,
3134
                                              sram_0_avalon_sram_slave_address,
3135
                                              sram_0_avalon_sram_slave_byteenable,
3136
                                              sram_0_avalon_sram_slave_read,
3137
                                              sram_0_avalon_sram_slave_readdata_from_sa,
3138
                                              sram_0_avalon_sram_slave_reset,
3139
                                              sram_0_avalon_sram_slave_write,
3140
                                              sram_0_avalon_sram_slave_writedata
3141
                                           )
3142
;
3143
 
3144
  output  [  1: 0] cpu_0_data_master_byteenable_sram_0_avalon_sram_slave;
3145
  output           cpu_0_data_master_granted_sram_0_avalon_sram_slave;
3146
  output           cpu_0_data_master_qualified_request_sram_0_avalon_sram_slave;
3147
  output           cpu_0_data_master_read_data_valid_sram_0_avalon_sram_slave;
3148
  output           cpu_0_data_master_requests_sram_0_avalon_sram_slave;
3149
  output           cpu_0_instruction_master_granted_sram_0_avalon_sram_slave;
3150
  output           cpu_0_instruction_master_qualified_request_sram_0_avalon_sram_slave;
3151
  output           cpu_0_instruction_master_read_data_valid_sram_0_avalon_sram_slave;
3152
  output           cpu_0_instruction_master_requests_sram_0_avalon_sram_slave;
3153
  output           d1_sram_0_avalon_sram_slave_end_xfer;
3154
  output  [ 17: 0] sram_0_avalon_sram_slave_address;
3155
  output  [  1: 0] sram_0_avalon_sram_slave_byteenable;
3156
  output           sram_0_avalon_sram_slave_read;
3157
  output  [ 15: 0] sram_0_avalon_sram_slave_readdata_from_sa;
3158
  output           sram_0_avalon_sram_slave_reset;
3159
  output           sram_0_avalon_sram_slave_write;
3160
  output  [ 15: 0] sram_0_avalon_sram_slave_writedata;
3161
  input            clk;
3162
  input   [ 20: 0] cpu_0_data_master_address_to_slave;
3163
  input   [  3: 0] cpu_0_data_master_byteenable;
3164
  input   [  1: 0] cpu_0_data_master_dbs_address;
3165
  input   [ 15: 0] cpu_0_data_master_dbs_write_16;
3166
  input   [  1: 0] cpu_0_data_master_latency_counter;
3167
  input            cpu_0_data_master_read;
3168
  input            cpu_0_data_master_write;
3169
  input   [ 20: 0] cpu_0_instruction_master_address_to_slave;
3170
  input   [  1: 0] cpu_0_instruction_master_dbs_address;
3171
  input   [  1: 0] cpu_0_instruction_master_latency_counter;
3172
  input            cpu_0_instruction_master_read;
3173
  input            reset_n;
3174
  input   [ 15: 0] sram_0_avalon_sram_slave_readdata;
3175
 
3176
  wire             cpu_0_data_master_arbiterlock;
3177
  wire             cpu_0_data_master_arbiterlock2;
3178
  wire    [  1: 0] cpu_0_data_master_byteenable_sram_0_avalon_sram_slave;
3179
  wire    [  1: 0] cpu_0_data_master_byteenable_sram_0_avalon_sram_slave_segment_0;
3180
  wire    [  1: 0] cpu_0_data_master_byteenable_sram_0_avalon_sram_slave_segment_1;
3181
  wire             cpu_0_data_master_continuerequest;
3182
  wire             cpu_0_data_master_granted_sram_0_avalon_sram_slave;
3183
  wire             cpu_0_data_master_qualified_request_sram_0_avalon_sram_slave;
3184
  wire             cpu_0_data_master_read_data_valid_sram_0_avalon_sram_slave;
3185
  reg     [  1: 0] cpu_0_data_master_read_data_valid_sram_0_avalon_sram_slave_shift_register;
3186
  wire             cpu_0_data_master_read_data_valid_sram_0_avalon_sram_slave_shift_register_in;
3187
  wire             cpu_0_data_master_requests_sram_0_avalon_sram_slave;
3188
  wire             cpu_0_data_master_saved_grant_sram_0_avalon_sram_slave;
3189
  wire             cpu_0_instruction_master_arbiterlock;
3190
  wire             cpu_0_instruction_master_arbiterlock2;
3191
  wire             cpu_0_instruction_master_continuerequest;
3192
  wire             cpu_0_instruction_master_granted_sram_0_avalon_sram_slave;
3193
  wire             cpu_0_instruction_master_qualified_request_sram_0_avalon_sram_slave;
3194
  wire             cpu_0_instruction_master_read_data_valid_sram_0_avalon_sram_slave;
3195
  reg     [  1: 0] cpu_0_instruction_master_read_data_valid_sram_0_avalon_sram_slave_shift_register;
3196
  wire             cpu_0_instruction_master_read_data_valid_sram_0_avalon_sram_slave_shift_register_in;
3197
  wire             cpu_0_instruction_master_requests_sram_0_avalon_sram_slave;
3198
  wire             cpu_0_instruction_master_saved_grant_sram_0_avalon_sram_slave;
3199
  reg              d1_reasons_to_wait;
3200
  reg              d1_sram_0_avalon_sram_slave_end_xfer;
3201
  reg              enable_nonzero_assertions;
3202
  wire             end_xfer_arb_share_counter_term_sram_0_avalon_sram_slave;
3203
  wire             in_a_read_cycle;
3204
  wire             in_a_write_cycle;
3205
  reg              last_cycle_cpu_0_data_master_granted_slave_sram_0_avalon_sram_slave;
3206
  reg              last_cycle_cpu_0_instruction_master_granted_slave_sram_0_avalon_sram_slave;
3207
  wire    [  1: 0] p1_cpu_0_data_master_read_data_valid_sram_0_avalon_sram_slave_shift_register;
3208
  wire    [  1: 0] p1_cpu_0_instruction_master_read_data_valid_sram_0_avalon_sram_slave_shift_register;
3209
  wire    [ 20: 0] shifted_address_to_sram_0_avalon_sram_slave_from_cpu_0_data_master;
3210
  wire    [ 20: 0] shifted_address_to_sram_0_avalon_sram_slave_from_cpu_0_instruction_master;
3211
  wire    [ 17: 0] sram_0_avalon_sram_slave_address;
3212
  wire             sram_0_avalon_sram_slave_allgrants;
3213
  wire             sram_0_avalon_sram_slave_allow_new_arb_cycle;
3214
  wire             sram_0_avalon_sram_slave_any_bursting_master_saved_grant;
3215
  wire             sram_0_avalon_sram_slave_any_continuerequest;
3216
  reg     [  1: 0] sram_0_avalon_sram_slave_arb_addend;
3217
  wire             sram_0_avalon_sram_slave_arb_counter_enable;
3218
  reg     [  1: 0] sram_0_avalon_sram_slave_arb_share_counter;
3219
  wire    [  1: 0] sram_0_avalon_sram_slave_arb_share_counter_next_value;
3220
  wire    [  1: 0] sram_0_avalon_sram_slave_arb_share_set_values;
3221
  wire    [  1: 0] sram_0_avalon_sram_slave_arb_winner;
3222
  wire             sram_0_avalon_sram_slave_arbitration_holdoff_internal;
3223
  wire             sram_0_avalon_sram_slave_beginbursttransfer_internal;
3224
  wire             sram_0_avalon_sram_slave_begins_xfer;
3225
  wire    [  1: 0] sram_0_avalon_sram_slave_byteenable;
3226
  wire    [  3: 0] sram_0_avalon_sram_slave_chosen_master_double_vector;
3227
  wire    [  1: 0] sram_0_avalon_sram_slave_chosen_master_rot_left;
3228
  wire             sram_0_avalon_sram_slave_end_xfer;
3229
  wire             sram_0_avalon_sram_slave_firsttransfer;
3230
  wire    [  1: 0] sram_0_avalon_sram_slave_grant_vector;
3231
  wire             sram_0_avalon_sram_slave_in_a_read_cycle;
3232
  wire             sram_0_avalon_sram_slave_in_a_write_cycle;
3233
  wire    [  1: 0] sram_0_avalon_sram_slave_master_qreq_vector;
3234
  wire             sram_0_avalon_sram_slave_non_bursting_master_requests;
3235
  wire             sram_0_avalon_sram_slave_read;
3236
  wire    [ 15: 0] sram_0_avalon_sram_slave_readdata_from_sa;
3237
  reg              sram_0_avalon_sram_slave_reg_firsttransfer;
3238
  wire             sram_0_avalon_sram_slave_reset;
3239
  reg     [  1: 0] sram_0_avalon_sram_slave_saved_chosen_master_vector;
3240
  reg              sram_0_avalon_sram_slave_slavearbiterlockenable;
3241
  wire             sram_0_avalon_sram_slave_slavearbiterlockenable2;
3242
  wire             sram_0_avalon_sram_slave_unreg_firsttransfer;
3243
  wire             sram_0_avalon_sram_slave_waits_for_read;
3244
  wire             sram_0_avalon_sram_slave_waits_for_write;
3245
  wire             sram_0_avalon_sram_slave_write;
3246
  wire    [ 15: 0] sram_0_avalon_sram_slave_writedata;
3247
  wire             wait_for_sram_0_avalon_sram_slave_counter;
3248
  always @(posedge clk or negedge reset_n)
3249
    begin
3250
      if (reset_n == 0)
3251
          d1_reasons_to_wait <= 0;
3252
      else
3253
        d1_reasons_to_wait <= ~sram_0_avalon_sram_slave_end_xfer;
3254
    end
3255
 
3256
 
3257
  assign sram_0_avalon_sram_slave_begins_xfer = ~d1_reasons_to_wait & ((cpu_0_data_master_qualified_request_sram_0_avalon_sram_slave | cpu_0_instruction_master_qualified_request_sram_0_avalon_sram_slave));
3258
  //assign sram_0_avalon_sram_slave_readdata_from_sa = sram_0_avalon_sram_slave_readdata so that symbol knows where to group signals which may go to master only, which is an e_assign
3259
  assign sram_0_avalon_sram_slave_readdata_from_sa = sram_0_avalon_sram_slave_readdata;
3260
 
3261
  assign cpu_0_data_master_requests_sram_0_avalon_sram_slave = ({cpu_0_data_master_address_to_slave[20 : 19] , 19'b0} == 21'h80000) & (cpu_0_data_master_read | cpu_0_data_master_write);
3262
  //sram_0_avalon_sram_slave_arb_share_counter set values, which is an e_mux
3263
  assign sram_0_avalon_sram_slave_arb_share_set_values = (cpu_0_data_master_granted_sram_0_avalon_sram_slave)? 2 :
3264
    (cpu_0_instruction_master_granted_sram_0_avalon_sram_slave)? 2 :
3265
    (cpu_0_data_master_granted_sram_0_avalon_sram_slave)? 2 :
3266
    (cpu_0_instruction_master_granted_sram_0_avalon_sram_slave)? 2 :
3267
    1;
3268
 
3269
  //sram_0_avalon_sram_slave_non_bursting_master_requests mux, which is an e_mux
3270
  assign sram_0_avalon_sram_slave_non_bursting_master_requests = cpu_0_data_master_requests_sram_0_avalon_sram_slave |
3271
    cpu_0_instruction_master_requests_sram_0_avalon_sram_slave |
3272
    cpu_0_data_master_requests_sram_0_avalon_sram_slave |
3273
    cpu_0_instruction_master_requests_sram_0_avalon_sram_slave;
3274
 
3275
  //sram_0_avalon_sram_slave_any_bursting_master_saved_grant mux, which is an e_mux
3276
  assign sram_0_avalon_sram_slave_any_bursting_master_saved_grant = 0;
3277
 
3278
  //sram_0_avalon_sram_slave_arb_share_counter_next_value assignment, which is an e_assign
3279
  assign sram_0_avalon_sram_slave_arb_share_counter_next_value = sram_0_avalon_sram_slave_firsttransfer ? (sram_0_avalon_sram_slave_arb_share_set_values - 1) : |sram_0_avalon_sram_slave_arb_share_counter ? (sram_0_avalon_sram_slave_arb_share_counter - 1) : 0;
3280
 
3281
  //sram_0_avalon_sram_slave_allgrants all slave grants, which is an e_mux
3282
  assign sram_0_avalon_sram_slave_allgrants = (|sram_0_avalon_sram_slave_grant_vector) |
3283
    (|sram_0_avalon_sram_slave_grant_vector) |
3284
    (|sram_0_avalon_sram_slave_grant_vector) |
3285
    (|sram_0_avalon_sram_slave_grant_vector);
3286
 
3287
  //sram_0_avalon_sram_slave_end_xfer assignment, which is an e_assign
3288
  assign sram_0_avalon_sram_slave_end_xfer = ~(sram_0_avalon_sram_slave_waits_for_read | sram_0_avalon_sram_slave_waits_for_write);
3289
 
3290
  //end_xfer_arb_share_counter_term_sram_0_avalon_sram_slave arb share counter enable term, which is an e_assign
3291
  assign end_xfer_arb_share_counter_term_sram_0_avalon_sram_slave = sram_0_avalon_sram_slave_end_xfer & (~sram_0_avalon_sram_slave_any_bursting_master_saved_grant | in_a_read_cycle | in_a_write_cycle);
3292
 
3293
  //sram_0_avalon_sram_slave_arb_share_counter arbitration counter enable, which is an e_assign
3294
  assign sram_0_avalon_sram_slave_arb_counter_enable = (end_xfer_arb_share_counter_term_sram_0_avalon_sram_slave & sram_0_avalon_sram_slave_allgrants) | (end_xfer_arb_share_counter_term_sram_0_avalon_sram_slave & ~sram_0_avalon_sram_slave_non_bursting_master_requests);
3295
 
3296
  //sram_0_avalon_sram_slave_arb_share_counter counter, which is an e_register
3297
  always @(posedge clk or negedge reset_n)
3298
    begin
3299
      if (reset_n == 0)
3300
          sram_0_avalon_sram_slave_arb_share_counter <= 0;
3301
      else if (sram_0_avalon_sram_slave_arb_counter_enable)
3302
          sram_0_avalon_sram_slave_arb_share_counter <= sram_0_avalon_sram_slave_arb_share_counter_next_value;
3303
    end
3304
 
3305
 
3306
  //sram_0_avalon_sram_slave_slavearbiterlockenable slave enables arbiterlock, which is an e_register
3307
  always @(posedge clk or negedge reset_n)
3308
    begin
3309
      if (reset_n == 0)
3310
          sram_0_avalon_sram_slave_slavearbiterlockenable <= 0;
3311
      else if ((|sram_0_avalon_sram_slave_master_qreq_vector & end_xfer_arb_share_counter_term_sram_0_avalon_sram_slave) | (end_xfer_arb_share_counter_term_sram_0_avalon_sram_slave & ~sram_0_avalon_sram_slave_non_bursting_master_requests))
3312
          sram_0_avalon_sram_slave_slavearbiterlockenable <= |sram_0_avalon_sram_slave_arb_share_counter_next_value;
3313
    end
3314
 
3315
 
3316
  //cpu_0/data_master sram_0/avalon_sram_slave arbiterlock, which is an e_assign
3317
  assign cpu_0_data_master_arbiterlock = sram_0_avalon_sram_slave_slavearbiterlockenable & cpu_0_data_master_continuerequest;
3318
 
3319
  //sram_0_avalon_sram_slave_slavearbiterlockenable2 slave enables arbiterlock2, which is an e_assign
3320
  assign sram_0_avalon_sram_slave_slavearbiterlockenable2 = |sram_0_avalon_sram_slave_arb_share_counter_next_value;
3321
 
3322
  //cpu_0/data_master sram_0/avalon_sram_slave arbiterlock2, which is an e_assign
3323
  assign cpu_0_data_master_arbiterlock2 = sram_0_avalon_sram_slave_slavearbiterlockenable2 & cpu_0_data_master_continuerequest;
3324
 
3325
  //cpu_0/instruction_master sram_0/avalon_sram_slave arbiterlock, which is an e_assign
3326
  assign cpu_0_instruction_master_arbiterlock = sram_0_avalon_sram_slave_slavearbiterlockenable & cpu_0_instruction_master_continuerequest;
3327
 
3328
  //cpu_0/instruction_master sram_0/avalon_sram_slave arbiterlock2, which is an e_assign
3329
  assign cpu_0_instruction_master_arbiterlock2 = sram_0_avalon_sram_slave_slavearbiterlockenable2 & cpu_0_instruction_master_continuerequest;
3330
 
3331
  //cpu_0/instruction_master granted sram_0/avalon_sram_slave last time, which is an e_register
3332
  always @(posedge clk or negedge reset_n)
3333
    begin
3334
      if (reset_n == 0)
3335
          last_cycle_cpu_0_instruction_master_granted_slave_sram_0_avalon_sram_slave <= 0;
3336
      else
3337
        last_cycle_cpu_0_instruction_master_granted_slave_sram_0_avalon_sram_slave <= cpu_0_instruction_master_saved_grant_sram_0_avalon_sram_slave ? 1 : (sram_0_avalon_sram_slave_arbitration_holdoff_internal | ~cpu_0_instruction_master_requests_sram_0_avalon_sram_slave) ? 0 : last_cycle_cpu_0_instruction_master_granted_slave_sram_0_avalon_sram_slave;
3338
    end
3339
 
3340
 
3341
  //cpu_0_instruction_master_continuerequest continued request, which is an e_mux
3342
  assign cpu_0_instruction_master_continuerequest = last_cycle_cpu_0_instruction_master_granted_slave_sram_0_avalon_sram_slave & cpu_0_instruction_master_requests_sram_0_avalon_sram_slave;
3343
 
3344
  //sram_0_avalon_sram_slave_any_continuerequest at least one master continues requesting, which is an e_mux
3345
  assign sram_0_avalon_sram_slave_any_continuerequest = cpu_0_instruction_master_continuerequest |
3346
    cpu_0_data_master_continuerequest;
3347
 
3348
  assign cpu_0_data_master_qualified_request_sram_0_avalon_sram_slave = cpu_0_data_master_requests_sram_0_avalon_sram_slave & ~((cpu_0_data_master_read & ((2 < cpu_0_data_master_latency_counter))) | ((!cpu_0_data_master_byteenable_sram_0_avalon_sram_slave) & cpu_0_data_master_write) | cpu_0_instruction_master_arbiterlock);
3349
  //cpu_0_data_master_read_data_valid_sram_0_avalon_sram_slave_shift_register_in mux for readlatency shift register, which is an e_mux
3350
  assign cpu_0_data_master_read_data_valid_sram_0_avalon_sram_slave_shift_register_in = cpu_0_data_master_granted_sram_0_avalon_sram_slave & cpu_0_data_master_read & ~sram_0_avalon_sram_slave_waits_for_read;
3351
 
3352
  //shift register p1 cpu_0_data_master_read_data_valid_sram_0_avalon_sram_slave_shift_register in if flush, otherwise shift left, which is an e_mux
3353
  assign p1_cpu_0_data_master_read_data_valid_sram_0_avalon_sram_slave_shift_register = {cpu_0_data_master_read_data_valid_sram_0_avalon_sram_slave_shift_register, cpu_0_data_master_read_data_valid_sram_0_avalon_sram_slave_shift_register_in};
3354
 
3355
  //cpu_0_data_master_read_data_valid_sram_0_avalon_sram_slave_shift_register for remembering which master asked for a fixed latency read, which is an e_register
3356
  always @(posedge clk or negedge reset_n)
3357
    begin
3358
      if (reset_n == 0)
3359
          cpu_0_data_master_read_data_valid_sram_0_avalon_sram_slave_shift_register <= 0;
3360
      else
3361
        cpu_0_data_master_read_data_valid_sram_0_avalon_sram_slave_shift_register <= p1_cpu_0_data_master_read_data_valid_sram_0_avalon_sram_slave_shift_register;
3362
    end
3363
 
3364
 
3365
  //local readdatavalid cpu_0_data_master_read_data_valid_sram_0_avalon_sram_slave, which is an e_mux
3366
  assign cpu_0_data_master_read_data_valid_sram_0_avalon_sram_slave = cpu_0_data_master_read_data_valid_sram_0_avalon_sram_slave_shift_register[1];
3367
 
3368
  //sram_0_avalon_sram_slave_writedata mux, which is an e_mux
3369
  assign sram_0_avalon_sram_slave_writedata = cpu_0_data_master_dbs_write_16;
3370
 
3371
  assign cpu_0_instruction_master_requests_sram_0_avalon_sram_slave = (({cpu_0_instruction_master_address_to_slave[20 : 19] , 19'b0} == 21'h80000) & (cpu_0_instruction_master_read)) & cpu_0_instruction_master_read;
3372
  //cpu_0/data_master granted sram_0/avalon_sram_slave last time, which is an e_register
3373
  always @(posedge clk or negedge reset_n)
3374
    begin
3375
      if (reset_n == 0)
3376
          last_cycle_cpu_0_data_master_granted_slave_sram_0_avalon_sram_slave <= 0;
3377
      else
3378
        last_cycle_cpu_0_data_master_granted_slave_sram_0_avalon_sram_slave <= cpu_0_data_master_saved_grant_sram_0_avalon_sram_slave ? 1 : (sram_0_avalon_sram_slave_arbitration_holdoff_internal | ~cpu_0_data_master_requests_sram_0_avalon_sram_slave) ? 0 : last_cycle_cpu_0_data_master_granted_slave_sram_0_avalon_sram_slave;
3379
    end
3380
 
3381
 
3382
  //cpu_0_data_master_continuerequest continued request, which is an e_mux
3383
  assign cpu_0_data_master_continuerequest = last_cycle_cpu_0_data_master_granted_slave_sram_0_avalon_sram_slave & cpu_0_data_master_requests_sram_0_avalon_sram_slave;
3384
 
3385
  assign cpu_0_instruction_master_qualified_request_sram_0_avalon_sram_slave = cpu_0_instruction_master_requests_sram_0_avalon_sram_slave & ~((cpu_0_instruction_master_read & ((2 < cpu_0_instruction_master_latency_counter))) | cpu_0_data_master_arbiterlock);
3386
  //cpu_0_instruction_master_read_data_valid_sram_0_avalon_sram_slave_shift_register_in mux for readlatency shift register, which is an e_mux
3387
  assign cpu_0_instruction_master_read_data_valid_sram_0_avalon_sram_slave_shift_register_in = cpu_0_instruction_master_granted_sram_0_avalon_sram_slave & cpu_0_instruction_master_read & ~sram_0_avalon_sram_slave_waits_for_read;
3388
 
3389
  //shift register p1 cpu_0_instruction_master_read_data_valid_sram_0_avalon_sram_slave_shift_register in if flush, otherwise shift left, which is an e_mux
3390
  assign p1_cpu_0_instruction_master_read_data_valid_sram_0_avalon_sram_slave_shift_register = {cpu_0_instruction_master_read_data_valid_sram_0_avalon_sram_slave_shift_register, cpu_0_instruction_master_read_data_valid_sram_0_avalon_sram_slave_shift_register_in};
3391
 
3392
  //cpu_0_instruction_master_read_data_valid_sram_0_avalon_sram_slave_shift_register for remembering which master asked for a fixed latency read, which is an e_register
3393
  always @(posedge clk or negedge reset_n)
3394
    begin
3395
      if (reset_n == 0)
3396
          cpu_0_instruction_master_read_data_valid_sram_0_avalon_sram_slave_shift_register <= 0;
3397
      else
3398
        cpu_0_instruction_master_read_data_valid_sram_0_avalon_sram_slave_shift_register <= p1_cpu_0_instruction_master_read_data_valid_sram_0_avalon_sram_slave_shift_register;
3399
    end
3400
 
3401
 
3402
  //local readdatavalid cpu_0_instruction_master_read_data_valid_sram_0_avalon_sram_slave, which is an e_mux
3403
  assign cpu_0_instruction_master_read_data_valid_sram_0_avalon_sram_slave = cpu_0_instruction_master_read_data_valid_sram_0_avalon_sram_slave_shift_register[1];
3404
 
3405
  //allow new arb cycle for sram_0/avalon_sram_slave, which is an e_assign
3406
  assign sram_0_avalon_sram_slave_allow_new_arb_cycle = ~cpu_0_data_master_arbiterlock & ~cpu_0_instruction_master_arbiterlock;
3407
 
3408
  //cpu_0/instruction_master assignment into master qualified-requests vector for sram_0/avalon_sram_slave, which is an e_assign
3409
  assign sram_0_avalon_sram_slave_master_qreq_vector[0] = cpu_0_instruction_master_qualified_request_sram_0_avalon_sram_slave;
3410
 
3411
  //cpu_0/instruction_master grant sram_0/avalon_sram_slave, which is an e_assign
3412
  assign cpu_0_instruction_master_granted_sram_0_avalon_sram_slave = sram_0_avalon_sram_slave_grant_vector[0];
3413
 
3414
  //cpu_0/instruction_master saved-grant sram_0/avalon_sram_slave, which is an e_assign
3415
  assign cpu_0_instruction_master_saved_grant_sram_0_avalon_sram_slave = sram_0_avalon_sram_slave_arb_winner[0] && cpu_0_instruction_master_requests_sram_0_avalon_sram_slave;
3416
 
3417
  //cpu_0/data_master assignment into master qualified-requests vector for sram_0/avalon_sram_slave, which is an e_assign
3418
  assign sram_0_avalon_sram_slave_master_qreq_vector[1] = cpu_0_data_master_qualified_request_sram_0_avalon_sram_slave;
3419
 
3420
  //cpu_0/data_master grant sram_0/avalon_sram_slave, which is an e_assign
3421
  assign cpu_0_data_master_granted_sram_0_avalon_sram_slave = sram_0_avalon_sram_slave_grant_vector[1];
3422
 
3423
  //cpu_0/data_master saved-grant sram_0/avalon_sram_slave, which is an e_assign
3424
  assign cpu_0_data_master_saved_grant_sram_0_avalon_sram_slave = sram_0_avalon_sram_slave_arb_winner[1] && cpu_0_data_master_requests_sram_0_avalon_sram_slave;
3425
 
3426
  //sram_0/avalon_sram_slave chosen-master double-vector, which is an e_assign
3427
  assign sram_0_avalon_sram_slave_chosen_master_double_vector = {sram_0_avalon_sram_slave_master_qreq_vector, sram_0_avalon_sram_slave_master_qreq_vector} & ({~sram_0_avalon_sram_slave_master_qreq_vector, ~sram_0_avalon_sram_slave_master_qreq_vector} + sram_0_avalon_sram_slave_arb_addend);
3428
 
3429
  //stable onehot encoding of arb winner
3430
  assign sram_0_avalon_sram_slave_arb_winner = (sram_0_avalon_sram_slave_allow_new_arb_cycle & | sram_0_avalon_sram_slave_grant_vector) ? sram_0_avalon_sram_slave_grant_vector : sram_0_avalon_sram_slave_saved_chosen_master_vector;
3431
 
3432
  //saved sram_0_avalon_sram_slave_grant_vector, which is an e_register
3433
  always @(posedge clk or negedge reset_n)
3434
    begin
3435
      if (reset_n == 0)
3436
          sram_0_avalon_sram_slave_saved_chosen_master_vector <= 0;
3437
      else if (sram_0_avalon_sram_slave_allow_new_arb_cycle)
3438
          sram_0_avalon_sram_slave_saved_chosen_master_vector <= |sram_0_avalon_sram_slave_grant_vector ? sram_0_avalon_sram_slave_grant_vector : sram_0_avalon_sram_slave_saved_chosen_master_vector;
3439
    end
3440
 
3441
 
3442
  //onehot encoding of chosen master
3443
  assign sram_0_avalon_sram_slave_grant_vector = {(sram_0_avalon_sram_slave_chosen_master_double_vector[1] | sram_0_avalon_sram_slave_chosen_master_double_vector[3]),
3444
    (sram_0_avalon_sram_slave_chosen_master_double_vector[0] | sram_0_avalon_sram_slave_chosen_master_double_vector[2])};
3445
 
3446
  //sram_0/avalon_sram_slave chosen master rotated left, which is an e_assign
3447
  assign sram_0_avalon_sram_slave_chosen_master_rot_left = (sram_0_avalon_sram_slave_arb_winner << 1) ? (sram_0_avalon_sram_slave_arb_winner << 1) : 1;
3448
 
3449
  //sram_0/avalon_sram_slave's addend for next-master-grant
3450
  always @(posedge clk or negedge reset_n)
3451
    begin
3452
      if (reset_n == 0)
3453
          sram_0_avalon_sram_slave_arb_addend <= 1;
3454
      else if (|sram_0_avalon_sram_slave_grant_vector)
3455
          sram_0_avalon_sram_slave_arb_addend <= sram_0_avalon_sram_slave_end_xfer? sram_0_avalon_sram_slave_chosen_master_rot_left : sram_0_avalon_sram_slave_grant_vector;
3456
    end
3457
 
3458
 
3459
  //~sram_0_avalon_sram_slave_reset assignment, which is an e_assign
3460
  assign sram_0_avalon_sram_slave_reset = ~reset_n;
3461
 
3462
  //sram_0_avalon_sram_slave_firsttransfer first transaction, which is an e_assign
3463
  assign sram_0_avalon_sram_slave_firsttransfer = sram_0_avalon_sram_slave_begins_xfer ? sram_0_avalon_sram_slave_unreg_firsttransfer : sram_0_avalon_sram_slave_reg_firsttransfer;
3464
 
3465
  //sram_0_avalon_sram_slave_unreg_firsttransfer first transaction, which is an e_assign
3466
  assign sram_0_avalon_sram_slave_unreg_firsttransfer = ~(sram_0_avalon_sram_slave_slavearbiterlockenable & sram_0_avalon_sram_slave_any_continuerequest);
3467
 
3468
  //sram_0_avalon_sram_slave_reg_firsttransfer first transaction, which is an e_register
3469
  always @(posedge clk or negedge reset_n)
3470
    begin
3471
      if (reset_n == 0)
3472
          sram_0_avalon_sram_slave_reg_firsttransfer <= 1'b1;
3473
      else if (sram_0_avalon_sram_slave_begins_xfer)
3474
          sram_0_avalon_sram_slave_reg_firsttransfer <= sram_0_avalon_sram_slave_unreg_firsttransfer;
3475
    end
3476
 
3477
 
3478
  //sram_0_avalon_sram_slave_beginbursttransfer_internal begin burst transfer, which is an e_assign
3479
  assign sram_0_avalon_sram_slave_beginbursttransfer_internal = sram_0_avalon_sram_slave_begins_xfer;
3480
 
3481
  //sram_0_avalon_sram_slave_arbitration_holdoff_internal arbitration_holdoff, which is an e_assign
3482
  assign sram_0_avalon_sram_slave_arbitration_holdoff_internal = sram_0_avalon_sram_slave_begins_xfer & sram_0_avalon_sram_slave_firsttransfer;
3483
 
3484
  //sram_0_avalon_sram_slave_read assignment, which is an e_mux
3485
  assign sram_0_avalon_sram_slave_read = (cpu_0_data_master_granted_sram_0_avalon_sram_slave & cpu_0_data_master_read) | (cpu_0_instruction_master_granted_sram_0_avalon_sram_slave & cpu_0_instruction_master_read);
3486
 
3487
  //sram_0_avalon_sram_slave_write assignment, which is an e_mux
3488
  assign sram_0_avalon_sram_slave_write = cpu_0_data_master_granted_sram_0_avalon_sram_slave & cpu_0_data_master_write;
3489
 
3490
  assign shifted_address_to_sram_0_avalon_sram_slave_from_cpu_0_data_master = {cpu_0_data_master_address_to_slave >> 2,
3491
    cpu_0_data_master_dbs_address[1],
3492
    {1 {1'b0}}};
3493
 
3494
  //sram_0_avalon_sram_slave_address mux, which is an e_mux
3495
  assign sram_0_avalon_sram_slave_address = (cpu_0_data_master_granted_sram_0_avalon_sram_slave)? (shifted_address_to_sram_0_avalon_sram_slave_from_cpu_0_data_master >> 1) :
3496
    (shifted_address_to_sram_0_avalon_sram_slave_from_cpu_0_instruction_master >> 1);
3497
 
3498
  assign shifted_address_to_sram_0_avalon_sram_slave_from_cpu_0_instruction_master = {cpu_0_instruction_master_address_to_slave >> 2,
3499
    cpu_0_instruction_master_dbs_address[1],
3500
    {1 {1'b0}}};
3501
 
3502
  //d1_sram_0_avalon_sram_slave_end_xfer register, which is an e_register
3503
  always @(posedge clk or negedge reset_n)
3504
    begin
3505
      if (reset_n == 0)
3506
          d1_sram_0_avalon_sram_slave_end_xfer <= 1;
3507
      else
3508
        d1_sram_0_avalon_sram_slave_end_xfer <= sram_0_avalon_sram_slave_end_xfer;
3509
    end
3510
 
3511
 
3512
  //sram_0_avalon_sram_slave_waits_for_read in a cycle, which is an e_mux
3513
  assign sram_0_avalon_sram_slave_waits_for_read = sram_0_avalon_sram_slave_in_a_read_cycle & 0;
3514
 
3515
  //sram_0_avalon_sram_slave_in_a_read_cycle assignment, which is an e_assign
3516
  assign sram_0_avalon_sram_slave_in_a_read_cycle = (cpu_0_data_master_granted_sram_0_avalon_sram_slave & cpu_0_data_master_read) | (cpu_0_instruction_master_granted_sram_0_avalon_sram_slave & cpu_0_instruction_master_read);
3517
 
3518
  //in_a_read_cycle assignment, which is an e_mux
3519
  assign in_a_read_cycle = sram_0_avalon_sram_slave_in_a_read_cycle;
3520
 
3521
  //sram_0_avalon_sram_slave_waits_for_write in a cycle, which is an e_mux
3522
  assign sram_0_avalon_sram_slave_waits_for_write = sram_0_avalon_sram_slave_in_a_write_cycle & 0;
3523
 
3524
  //sram_0_avalon_sram_slave_in_a_write_cycle assignment, which is an e_assign
3525
  assign sram_0_avalon_sram_slave_in_a_write_cycle = cpu_0_data_master_granted_sram_0_avalon_sram_slave & cpu_0_data_master_write;
3526
 
3527
  //in_a_write_cycle assignment, which is an e_mux
3528
  assign in_a_write_cycle = sram_0_avalon_sram_slave_in_a_write_cycle;
3529
 
3530
  assign wait_for_sram_0_avalon_sram_slave_counter = 0;
3531
  //sram_0_avalon_sram_slave_byteenable byte enable port mux, which is an e_mux
3532
  assign sram_0_avalon_sram_slave_byteenable = (cpu_0_data_master_granted_sram_0_avalon_sram_slave)? cpu_0_data_master_byteenable_sram_0_avalon_sram_slave :
3533
    -1;
3534
 
3535
  assign {cpu_0_data_master_byteenable_sram_0_avalon_sram_slave_segment_1,
3536
cpu_0_data_master_byteenable_sram_0_avalon_sram_slave_segment_0} = cpu_0_data_master_byteenable;
3537
  assign cpu_0_data_master_byteenable_sram_0_avalon_sram_slave = ((cpu_0_data_master_dbs_address[1] == 0))? cpu_0_data_master_byteenable_sram_0_avalon_sram_slave_segment_0 :
3538
    cpu_0_data_master_byteenable_sram_0_avalon_sram_slave_segment_1;
3539
 
3540
 
3541
//synthesis translate_off
3542
//////////////// SIMULATION-ONLY CONTENTS
3543
  //sram_0/avalon_sram_slave enable non-zero assertions, which is an e_register
3544
  always @(posedge clk or negedge reset_n)
3545
    begin
3546
      if (reset_n == 0)
3547
          enable_nonzero_assertions <= 0;
3548
      else
3549
        enable_nonzero_assertions <= 1'b1;
3550
    end
3551
 
3552
 
3553
  //grant signals are active simultaneously, which is an e_process
3554
  always @(posedge clk)
3555
    begin
3556
      if (cpu_0_data_master_granted_sram_0_avalon_sram_slave + cpu_0_instruction_master_granted_sram_0_avalon_sram_slave > 1)
3557
        begin
3558
          $write("%0d ns: > 1 of grant signals are active simultaneously", $time);
3559
          $stop;
3560
        end
3561
    end
3562
 
3563
 
3564
  //saved_grant signals are active simultaneously, which is an e_process
3565
  always @(posedge clk)
3566
    begin
3567
      if (cpu_0_data_master_saved_grant_sram_0_avalon_sram_slave + cpu_0_instruction_master_saved_grant_sram_0_avalon_sram_slave > 1)
3568
        begin
3569
          $write("%0d ns: > 1 of saved_grant signals are active simultaneously", $time);
3570
          $stop;
3571
        end
3572
    end
3573
 
3574
 
3575
 
3576
//////////////// END SIMULATION-ONLY CONTENTS
3577
 
3578
//synthesis translate_on
3579
 
3580
endmodule
3581
 
3582
 
3583
// synthesis translate_off
3584
`timescale 1ns / 1ps
3585
// synthesis translate_on
3586
 
3587
// turn off superfluous verilog processor warnings 
3588
// altera message_level Level1 
3589
// altera message_off 10034 10035 10036 10037 10230 10240 10030 
3590
 
3591
module sysid_control_slave_arbitrator (
3592
                                        // inputs:
3593
                                         clk,
3594
                                         cpu_0_data_master_address_to_slave,
3595
                                         cpu_0_data_master_latency_counter,
3596
                                         cpu_0_data_master_read,
3597
                                         cpu_0_data_master_write,
3598
                                         reset_n,
3599
                                         sysid_control_slave_readdata,
3600
 
3601
                                        // outputs:
3602
                                         cpu_0_data_master_granted_sysid_control_slave,
3603
                                         cpu_0_data_master_qualified_request_sysid_control_slave,
3604
                                         cpu_0_data_master_read_data_valid_sysid_control_slave,
3605
                                         cpu_0_data_master_requests_sysid_control_slave,
3606
                                         d1_sysid_control_slave_end_xfer,
3607
                                         sysid_control_slave_address,
3608
                                         sysid_control_slave_readdata_from_sa,
3609
                                         sysid_control_slave_reset_n
3610
                                      )
3611
;
3612
 
3613
  output           cpu_0_data_master_granted_sysid_control_slave;
3614
  output           cpu_0_data_master_qualified_request_sysid_control_slave;
3615
  output           cpu_0_data_master_read_data_valid_sysid_control_slave;
3616
  output           cpu_0_data_master_requests_sysid_control_slave;
3617
  output           d1_sysid_control_slave_end_xfer;
3618
  output           sysid_control_slave_address;
3619
  output  [ 31: 0] sysid_control_slave_readdata_from_sa;
3620
  output           sysid_control_slave_reset_n;
3621
  input            clk;
3622
  input   [ 20: 0] cpu_0_data_master_address_to_slave;
3623
  input   [  1: 0] cpu_0_data_master_latency_counter;
3624
  input            cpu_0_data_master_read;
3625
  input            cpu_0_data_master_write;
3626
  input            reset_n;
3627
  input   [ 31: 0] sysid_control_slave_readdata;
3628
 
3629
  wire             cpu_0_data_master_arbiterlock;
3630
  wire             cpu_0_data_master_arbiterlock2;
3631
  wire             cpu_0_data_master_continuerequest;
3632
  wire             cpu_0_data_master_granted_sysid_control_slave;
3633
  wire             cpu_0_data_master_qualified_request_sysid_control_slave;
3634
  wire             cpu_0_data_master_read_data_valid_sysid_control_slave;
3635
  wire             cpu_0_data_master_requests_sysid_control_slave;
3636
  wire             cpu_0_data_master_saved_grant_sysid_control_slave;
3637
  reg              d1_reasons_to_wait;
3638
  reg              d1_sysid_control_slave_end_xfer;
3639
  reg              enable_nonzero_assertions;
3640
  wire             end_xfer_arb_share_counter_term_sysid_control_slave;
3641
  wire             in_a_read_cycle;
3642
  wire             in_a_write_cycle;
3643
  wire    [ 20: 0] shifted_address_to_sysid_control_slave_from_cpu_0_data_master;
3644
  wire             sysid_control_slave_address;
3645
  wire             sysid_control_slave_allgrants;
3646
  wire             sysid_control_slave_allow_new_arb_cycle;
3647
  wire             sysid_control_slave_any_bursting_master_saved_grant;
3648
  wire             sysid_control_slave_any_continuerequest;
3649
  wire             sysid_control_slave_arb_counter_enable;
3650
  reg     [  1: 0] sysid_control_slave_arb_share_counter;
3651
  wire    [  1: 0] sysid_control_slave_arb_share_counter_next_value;
3652
  wire    [  1: 0] sysid_control_slave_arb_share_set_values;
3653
  wire             sysid_control_slave_beginbursttransfer_internal;
3654
  wire             sysid_control_slave_begins_xfer;
3655
  wire             sysid_control_slave_end_xfer;
3656
  wire             sysid_control_slave_firsttransfer;
3657
  wire             sysid_control_slave_grant_vector;
3658
  wire             sysid_control_slave_in_a_read_cycle;
3659
  wire             sysid_control_slave_in_a_write_cycle;
3660
  wire             sysid_control_slave_master_qreq_vector;
3661
  wire             sysid_control_slave_non_bursting_master_requests;
3662
  wire    [ 31: 0] sysid_control_slave_readdata_from_sa;
3663
  reg              sysid_control_slave_reg_firsttransfer;
3664
  wire             sysid_control_slave_reset_n;
3665
  reg              sysid_control_slave_slavearbiterlockenable;
3666
  wire             sysid_control_slave_slavearbiterlockenable2;
3667
  wire             sysid_control_slave_unreg_firsttransfer;
3668
  wire             sysid_control_slave_waits_for_read;
3669
  wire             sysid_control_slave_waits_for_write;
3670
  wire             wait_for_sysid_control_slave_counter;
3671
  always @(posedge clk or negedge reset_n)
3672
    begin
3673
      if (reset_n == 0)
3674
          d1_reasons_to_wait <= 0;
3675
      else
3676
        d1_reasons_to_wait <= ~sysid_control_slave_end_xfer;
3677
    end
3678
 
3679
 
3680
  assign sysid_control_slave_begins_xfer = ~d1_reasons_to_wait & ((cpu_0_data_master_qualified_request_sysid_control_slave));
3681
  //assign sysid_control_slave_readdata_from_sa = sysid_control_slave_readdata so that symbol knows where to group signals which may go to master only, which is an e_assign
3682
  assign sysid_control_slave_readdata_from_sa = sysid_control_slave_readdata;
3683
 
3684
  assign cpu_0_data_master_requests_sysid_control_slave = (({cpu_0_data_master_address_to_slave[20 : 3] , 3'b0} == 21'h0) & (cpu_0_data_master_read | cpu_0_data_master_write)) & cpu_0_data_master_read;
3685
  //sysid_control_slave_arb_share_counter set values, which is an e_mux
3686
  assign sysid_control_slave_arb_share_set_values = 1;
3687
 
3688
  //sysid_control_slave_non_bursting_master_requests mux, which is an e_mux
3689
  assign sysid_control_slave_non_bursting_master_requests = cpu_0_data_master_requests_sysid_control_slave;
3690
 
3691
  //sysid_control_slave_any_bursting_master_saved_grant mux, which is an e_mux
3692
  assign sysid_control_slave_any_bursting_master_saved_grant = 0;
3693
 
3694
  //sysid_control_slave_arb_share_counter_next_value assignment, which is an e_assign
3695
  assign sysid_control_slave_arb_share_counter_next_value = sysid_control_slave_firsttransfer ? (sysid_control_slave_arb_share_set_values - 1) : |sysid_control_slave_arb_share_counter ? (sysid_control_slave_arb_share_counter - 1) : 0;
3696
 
3697
  //sysid_control_slave_allgrants all slave grants, which is an e_mux
3698
  assign sysid_control_slave_allgrants = |sysid_control_slave_grant_vector;
3699
 
3700
  //sysid_control_slave_end_xfer assignment, which is an e_assign
3701
  assign sysid_control_slave_end_xfer = ~(sysid_control_slave_waits_for_read | sysid_control_slave_waits_for_write);
3702
 
3703
  //end_xfer_arb_share_counter_term_sysid_control_slave arb share counter enable term, which is an e_assign
3704
  assign end_xfer_arb_share_counter_term_sysid_control_slave = sysid_control_slave_end_xfer & (~sysid_control_slave_any_bursting_master_saved_grant | in_a_read_cycle | in_a_write_cycle);
3705
 
3706
  //sysid_control_slave_arb_share_counter arbitration counter enable, which is an e_assign
3707
  assign sysid_control_slave_arb_counter_enable = (end_xfer_arb_share_counter_term_sysid_control_slave & sysid_control_slave_allgrants) | (end_xfer_arb_share_counter_term_sysid_control_slave & ~sysid_control_slave_non_bursting_master_requests);
3708
 
3709
  //sysid_control_slave_arb_share_counter counter, which is an e_register
3710
  always @(posedge clk or negedge reset_n)
3711
    begin
3712
      if (reset_n == 0)
3713
          sysid_control_slave_arb_share_counter <= 0;
3714
      else if (sysid_control_slave_arb_counter_enable)
3715
          sysid_control_slave_arb_share_counter <= sysid_control_slave_arb_share_counter_next_value;
3716
    end
3717
 
3718
 
3719
  //sysid_control_slave_slavearbiterlockenable slave enables arbiterlock, which is an e_register
3720
  always @(posedge clk or negedge reset_n)
3721
    begin
3722
      if (reset_n == 0)
3723
          sysid_control_slave_slavearbiterlockenable <= 0;
3724
      else if ((|sysid_control_slave_master_qreq_vector & end_xfer_arb_share_counter_term_sysid_control_slave) | (end_xfer_arb_share_counter_term_sysid_control_slave & ~sysid_control_slave_non_bursting_master_requests))
3725
          sysid_control_slave_slavearbiterlockenable <= |sysid_control_slave_arb_share_counter_next_value;
3726
    end
3727
 
3728
 
3729
  //cpu_0/data_master sysid/control_slave arbiterlock, which is an e_assign
3730
  assign cpu_0_data_master_arbiterlock = sysid_control_slave_slavearbiterlockenable & cpu_0_data_master_continuerequest;
3731
 
3732
  //sysid_control_slave_slavearbiterlockenable2 slave enables arbiterlock2, which is an e_assign
3733
  assign sysid_control_slave_slavearbiterlockenable2 = |sysid_control_slave_arb_share_counter_next_value;
3734
 
3735
  //cpu_0/data_master sysid/control_slave arbiterlock2, which is an e_assign
3736
  assign cpu_0_data_master_arbiterlock2 = sysid_control_slave_slavearbiterlockenable2 & cpu_0_data_master_continuerequest;
3737
 
3738
  //sysid_control_slave_any_continuerequest at least one master continues requesting, which is an e_assign
3739
  assign sysid_control_slave_any_continuerequest = 1;
3740
 
3741
  //cpu_0_data_master_continuerequest continued request, which is an e_assign
3742
  assign cpu_0_data_master_continuerequest = 1;
3743
 
3744
  assign cpu_0_data_master_qualified_request_sysid_control_slave = cpu_0_data_master_requests_sysid_control_slave & ~((cpu_0_data_master_read & ((cpu_0_data_master_latency_counter != 0))));
3745
  //local readdatavalid cpu_0_data_master_read_data_valid_sysid_control_slave, which is an e_mux
3746
  assign cpu_0_data_master_read_data_valid_sysid_control_slave = cpu_0_data_master_granted_sysid_control_slave & cpu_0_data_master_read & ~sysid_control_slave_waits_for_read;
3747
 
3748
  //master is always granted when requested
3749
  assign cpu_0_data_master_granted_sysid_control_slave = cpu_0_data_master_qualified_request_sysid_control_slave;
3750
 
3751
  //cpu_0/data_master saved-grant sysid/control_slave, which is an e_assign
3752
  assign cpu_0_data_master_saved_grant_sysid_control_slave = cpu_0_data_master_requests_sysid_control_slave;
3753
 
3754
  //allow new arb cycle for sysid/control_slave, which is an e_assign
3755
  assign sysid_control_slave_allow_new_arb_cycle = 1;
3756
 
3757
  //placeholder chosen master
3758
  assign sysid_control_slave_grant_vector = 1;
3759
 
3760
  //placeholder vector of master qualified-requests
3761
  assign sysid_control_slave_master_qreq_vector = 1;
3762
 
3763
  //sysid_control_slave_reset_n assignment, which is an e_assign
3764
  assign sysid_control_slave_reset_n = reset_n;
3765
 
3766
  //sysid_control_slave_firsttransfer first transaction, which is an e_assign
3767
  assign sysid_control_slave_firsttransfer = sysid_control_slave_begins_xfer ? sysid_control_slave_unreg_firsttransfer : sysid_control_slave_reg_firsttransfer;
3768
 
3769
  //sysid_control_slave_unreg_firsttransfer first transaction, which is an e_assign
3770
  assign sysid_control_slave_unreg_firsttransfer = ~(sysid_control_slave_slavearbiterlockenable & sysid_control_slave_any_continuerequest);
3771
 
3772
  //sysid_control_slave_reg_firsttransfer first transaction, which is an e_register
3773
  always @(posedge clk or negedge reset_n)
3774
    begin
3775
      if (reset_n == 0)
3776
          sysid_control_slave_reg_firsttransfer <= 1'b1;
3777
      else if (sysid_control_slave_begins_xfer)
3778
          sysid_control_slave_reg_firsttransfer <= sysid_control_slave_unreg_firsttransfer;
3779
    end
3780
 
3781
 
3782
  //sysid_control_slave_beginbursttransfer_internal begin burst transfer, which is an e_assign
3783
  assign sysid_control_slave_beginbursttransfer_internal = sysid_control_slave_begins_xfer;
3784
 
3785
  assign shifted_address_to_sysid_control_slave_from_cpu_0_data_master = cpu_0_data_master_address_to_slave;
3786
  //sysid_control_slave_address mux, which is an e_mux
3787
  assign sysid_control_slave_address = shifted_address_to_sysid_control_slave_from_cpu_0_data_master >> 2;
3788
 
3789
  //d1_sysid_control_slave_end_xfer register, which is an e_register
3790
  always @(posedge clk or negedge reset_n)
3791
    begin
3792
      if (reset_n == 0)
3793
          d1_sysid_control_slave_end_xfer <= 1;
3794
      else
3795
        d1_sysid_control_slave_end_xfer <= sysid_control_slave_end_xfer;
3796
    end
3797
 
3798
 
3799
  //sysid_control_slave_waits_for_read in a cycle, which is an e_mux
3800
  assign sysid_control_slave_waits_for_read = sysid_control_slave_in_a_read_cycle & sysid_control_slave_begins_xfer;
3801
 
3802
  //sysid_control_slave_in_a_read_cycle assignment, which is an e_assign
3803
  assign sysid_control_slave_in_a_read_cycle = cpu_0_data_master_granted_sysid_control_slave & cpu_0_data_master_read;
3804
 
3805
  //in_a_read_cycle assignment, which is an e_mux
3806
  assign in_a_read_cycle = sysid_control_slave_in_a_read_cycle;
3807
 
3808
  //sysid_control_slave_waits_for_write in a cycle, which is an e_mux
3809
  assign sysid_control_slave_waits_for_write = sysid_control_slave_in_a_write_cycle & 0;
3810
 
3811
  //sysid_control_slave_in_a_write_cycle assignment, which is an e_assign
3812
  assign sysid_control_slave_in_a_write_cycle = cpu_0_data_master_granted_sysid_control_slave & cpu_0_data_master_write;
3813
 
3814
  //in_a_write_cycle assignment, which is an e_mux
3815
  assign in_a_write_cycle = sysid_control_slave_in_a_write_cycle;
3816
 
3817
  assign wait_for_sysid_control_slave_counter = 0;
3818
 
3819
//synthesis translate_off
3820
//////////////// SIMULATION-ONLY CONTENTS
3821
  //sysid/control_slave enable non-zero assertions, which is an e_register
3822
  always @(posedge clk or negedge reset_n)
3823
    begin
3824
      if (reset_n == 0)
3825
          enable_nonzero_assertions <= 0;
3826
      else
3827
        enable_nonzero_assertions <= 1'b1;
3828
    end
3829
 
3830
 
3831
 
3832
//////////////// END SIMULATION-ONLY CONTENTS
3833
 
3834
//synthesis translate_on
3835
 
3836
endmodule
3837
 
3838
 
3839
// synthesis translate_off
3840
`timescale 1ns / 1ps
3841
// synthesis translate_on
3842
 
3843
// turn off superfluous verilog processor warnings 
3844
// altera message_level Level1 
3845
// altera message_off 10034 10035 10036 10037 10230 10240 10030 
3846
 
3847
module timer_0_s1_arbitrator (
3848
                               // inputs:
3849
                                clk,
3850
                                cpu_0_data_master_address_to_slave,
3851
                                cpu_0_data_master_latency_counter,
3852
                                cpu_0_data_master_read,
3853
                                cpu_0_data_master_write,
3854
                                cpu_0_data_master_writedata,
3855
                                reset_n,
3856
                                timer_0_s1_irq,
3857
                                timer_0_s1_readdata,
3858
 
3859
                               // outputs:
3860
                                cpu_0_data_master_granted_timer_0_s1,
3861
                                cpu_0_data_master_qualified_request_timer_0_s1,
3862
                                cpu_0_data_master_read_data_valid_timer_0_s1,
3863
                                cpu_0_data_master_requests_timer_0_s1,
3864
                                d1_timer_0_s1_end_xfer,
3865
                                timer_0_s1_address,
3866
                                timer_0_s1_chipselect,
3867
                                timer_0_s1_irq_from_sa,
3868
                                timer_0_s1_readdata_from_sa,
3869
                                timer_0_s1_reset_n,
3870
                                timer_0_s1_write_n,
3871
                                timer_0_s1_writedata
3872
                             )
3873
;
3874
 
3875
  output           cpu_0_data_master_granted_timer_0_s1;
3876
  output           cpu_0_data_master_qualified_request_timer_0_s1;
3877
  output           cpu_0_data_master_read_data_valid_timer_0_s1;
3878
  output           cpu_0_data_master_requests_timer_0_s1;
3879
  output           d1_timer_0_s1_end_xfer;
3880
  output  [  2: 0] timer_0_s1_address;
3881
  output           timer_0_s1_chipselect;
3882
  output           timer_0_s1_irq_from_sa;
3883
  output  [ 15: 0] timer_0_s1_readdata_from_sa;
3884
  output           timer_0_s1_reset_n;
3885
  output           timer_0_s1_write_n;
3886
  output  [ 15: 0] timer_0_s1_writedata;
3887
  input            clk;
3888
  input   [ 20: 0] cpu_0_data_master_address_to_slave;
3889
  input   [  1: 0] cpu_0_data_master_latency_counter;
3890
  input            cpu_0_data_master_read;
3891
  input            cpu_0_data_master_write;
3892
  input   [ 31: 0] cpu_0_data_master_writedata;
3893
  input            reset_n;
3894
  input            timer_0_s1_irq;
3895
  input   [ 15: 0] timer_0_s1_readdata;
3896
 
3897
  wire             cpu_0_data_master_arbiterlock;
3898
  wire             cpu_0_data_master_arbiterlock2;
3899
  wire             cpu_0_data_master_continuerequest;
3900
  wire             cpu_0_data_master_granted_timer_0_s1;
3901
  wire             cpu_0_data_master_qualified_request_timer_0_s1;
3902
  wire             cpu_0_data_master_read_data_valid_timer_0_s1;
3903
  wire             cpu_0_data_master_requests_timer_0_s1;
3904
  wire             cpu_0_data_master_saved_grant_timer_0_s1;
3905
  reg              d1_reasons_to_wait;
3906
  reg              d1_timer_0_s1_end_xfer;
3907
  reg              enable_nonzero_assertions;
3908
  wire             end_xfer_arb_share_counter_term_timer_0_s1;
3909
  wire             in_a_read_cycle;
3910
  wire             in_a_write_cycle;
3911
  wire    [ 20: 0] shifted_address_to_timer_0_s1_from_cpu_0_data_master;
3912
  wire    [  2: 0] timer_0_s1_address;
3913
  wire             timer_0_s1_allgrants;
3914
  wire             timer_0_s1_allow_new_arb_cycle;
3915
  wire             timer_0_s1_any_bursting_master_saved_grant;
3916
  wire             timer_0_s1_any_continuerequest;
3917
  wire             timer_0_s1_arb_counter_enable;
3918
  reg     [  1: 0] timer_0_s1_arb_share_counter;
3919
  wire    [  1: 0] timer_0_s1_arb_share_counter_next_value;
3920
  wire    [  1: 0] timer_0_s1_arb_share_set_values;
3921
  wire             timer_0_s1_beginbursttransfer_internal;
3922
  wire             timer_0_s1_begins_xfer;
3923
  wire             timer_0_s1_chipselect;
3924
  wire             timer_0_s1_end_xfer;
3925
  wire             timer_0_s1_firsttransfer;
3926
  wire             timer_0_s1_grant_vector;
3927
  wire             timer_0_s1_in_a_read_cycle;
3928
  wire             timer_0_s1_in_a_write_cycle;
3929
  wire             timer_0_s1_irq_from_sa;
3930
  wire             timer_0_s1_master_qreq_vector;
3931
  wire             timer_0_s1_non_bursting_master_requests;
3932
  wire    [ 15: 0] timer_0_s1_readdata_from_sa;
3933
  reg              timer_0_s1_reg_firsttransfer;
3934
  wire             timer_0_s1_reset_n;
3935
  reg              timer_0_s1_slavearbiterlockenable;
3936
  wire             timer_0_s1_slavearbiterlockenable2;
3937
  wire             timer_0_s1_unreg_firsttransfer;
3938
  wire             timer_0_s1_waits_for_read;
3939
  wire             timer_0_s1_waits_for_write;
3940
  wire             timer_0_s1_write_n;
3941
  wire    [ 15: 0] timer_0_s1_writedata;
3942
  wire             wait_for_timer_0_s1_counter;
3943
  always @(posedge clk or negedge reset_n)
3944
    begin
3945
      if (reset_n == 0)
3946
          d1_reasons_to_wait <= 0;
3947
      else
3948
        d1_reasons_to_wait <= ~timer_0_s1_end_xfer;
3949
    end
3950
 
3951
 
3952
  assign timer_0_s1_begins_xfer = ~d1_reasons_to_wait & ((cpu_0_data_master_qualified_request_timer_0_s1));
3953
  //assign timer_0_s1_readdata_from_sa = timer_0_s1_readdata so that symbol knows where to group signals which may go to master only, which is an e_assign
3954
  assign timer_0_s1_readdata_from_sa = timer_0_s1_readdata;
3955
 
3956
  assign cpu_0_data_master_requests_timer_0_s1 = ({cpu_0_data_master_address_to_slave[20 : 5] , 5'b0} == 21'h101e40) & (cpu_0_data_master_read | cpu_0_data_master_write);
3957
  //timer_0_s1_arb_share_counter set values, which is an e_mux
3958
  assign timer_0_s1_arb_share_set_values = 1;
3959
 
3960
  //timer_0_s1_non_bursting_master_requests mux, which is an e_mux
3961
  assign timer_0_s1_non_bursting_master_requests = cpu_0_data_master_requests_timer_0_s1;
3962
 
3963
  //timer_0_s1_any_bursting_master_saved_grant mux, which is an e_mux
3964
  assign timer_0_s1_any_bursting_master_saved_grant = 0;
3965
 
3966
  //timer_0_s1_arb_share_counter_next_value assignment, which is an e_assign
3967
  assign timer_0_s1_arb_share_counter_next_value = timer_0_s1_firsttransfer ? (timer_0_s1_arb_share_set_values - 1) : |timer_0_s1_arb_share_counter ? (timer_0_s1_arb_share_counter - 1) : 0;
3968
 
3969
  //timer_0_s1_allgrants all slave grants, which is an e_mux
3970
  assign timer_0_s1_allgrants = |timer_0_s1_grant_vector;
3971
 
3972
  //timer_0_s1_end_xfer assignment, which is an e_assign
3973
  assign timer_0_s1_end_xfer = ~(timer_0_s1_waits_for_read | timer_0_s1_waits_for_write);
3974
 
3975
  //end_xfer_arb_share_counter_term_timer_0_s1 arb share counter enable term, which is an e_assign
3976
  assign end_xfer_arb_share_counter_term_timer_0_s1 = timer_0_s1_end_xfer & (~timer_0_s1_any_bursting_master_saved_grant | in_a_read_cycle | in_a_write_cycle);
3977
 
3978
  //timer_0_s1_arb_share_counter arbitration counter enable, which is an e_assign
3979
  assign timer_0_s1_arb_counter_enable = (end_xfer_arb_share_counter_term_timer_0_s1 & timer_0_s1_allgrants) | (end_xfer_arb_share_counter_term_timer_0_s1 & ~timer_0_s1_non_bursting_master_requests);
3980
 
3981
  //timer_0_s1_arb_share_counter counter, which is an e_register
3982
  always @(posedge clk or negedge reset_n)
3983
    begin
3984
      if (reset_n == 0)
3985
          timer_0_s1_arb_share_counter <= 0;
3986
      else if (timer_0_s1_arb_counter_enable)
3987
          timer_0_s1_arb_share_counter <= timer_0_s1_arb_share_counter_next_value;
3988
    end
3989
 
3990
 
3991
  //timer_0_s1_slavearbiterlockenable slave enables arbiterlock, which is an e_register
3992
  always @(posedge clk or negedge reset_n)
3993
    begin
3994
      if (reset_n == 0)
3995
          timer_0_s1_slavearbiterlockenable <= 0;
3996
      else if ((|timer_0_s1_master_qreq_vector & end_xfer_arb_share_counter_term_timer_0_s1) | (end_xfer_arb_share_counter_term_timer_0_s1 & ~timer_0_s1_non_bursting_master_requests))
3997
          timer_0_s1_slavearbiterlockenable <= |timer_0_s1_arb_share_counter_next_value;
3998
    end
3999
 
4000
 
4001
  //cpu_0/data_master timer_0/s1 arbiterlock, which is an e_assign
4002
  assign cpu_0_data_master_arbiterlock = timer_0_s1_slavearbiterlockenable & cpu_0_data_master_continuerequest;
4003
 
4004
  //timer_0_s1_slavearbiterlockenable2 slave enables arbiterlock2, which is an e_assign
4005
  assign timer_0_s1_slavearbiterlockenable2 = |timer_0_s1_arb_share_counter_next_value;
4006
 
4007
  //cpu_0/data_master timer_0/s1 arbiterlock2, which is an e_assign
4008
  assign cpu_0_data_master_arbiterlock2 = timer_0_s1_slavearbiterlockenable2 & cpu_0_data_master_continuerequest;
4009
 
4010
  //timer_0_s1_any_continuerequest at least one master continues requesting, which is an e_assign
4011
  assign timer_0_s1_any_continuerequest = 1;
4012
 
4013
  //cpu_0_data_master_continuerequest continued request, which is an e_assign
4014
  assign cpu_0_data_master_continuerequest = 1;
4015
 
4016
  assign cpu_0_data_master_qualified_request_timer_0_s1 = cpu_0_data_master_requests_timer_0_s1 & ~((cpu_0_data_master_read & ((cpu_0_data_master_latency_counter != 0))));
4017
  //local readdatavalid cpu_0_data_master_read_data_valid_timer_0_s1, which is an e_mux
4018
  assign cpu_0_data_master_read_data_valid_timer_0_s1 = cpu_0_data_master_granted_timer_0_s1 & cpu_0_data_master_read & ~timer_0_s1_waits_for_read;
4019
 
4020
  //timer_0_s1_writedata mux, which is an e_mux
4021
  assign timer_0_s1_writedata = cpu_0_data_master_writedata;
4022
 
4023
  //master is always granted when requested
4024
  assign cpu_0_data_master_granted_timer_0_s1 = cpu_0_data_master_qualified_request_timer_0_s1;
4025
 
4026
  //cpu_0/data_master saved-grant timer_0/s1, which is an e_assign
4027
  assign cpu_0_data_master_saved_grant_timer_0_s1 = cpu_0_data_master_requests_timer_0_s1;
4028
 
4029
  //allow new arb cycle for timer_0/s1, which is an e_assign
4030
  assign timer_0_s1_allow_new_arb_cycle = 1;
4031
 
4032
  //placeholder chosen master
4033
  assign timer_0_s1_grant_vector = 1;
4034
 
4035
  //placeholder vector of master qualified-requests
4036
  assign timer_0_s1_master_qreq_vector = 1;
4037
 
4038
  //timer_0_s1_reset_n assignment, which is an e_assign
4039
  assign timer_0_s1_reset_n = reset_n;
4040
 
4041
  assign timer_0_s1_chipselect = cpu_0_data_master_granted_timer_0_s1;
4042
  //timer_0_s1_firsttransfer first transaction, which is an e_assign
4043
  assign timer_0_s1_firsttransfer = timer_0_s1_begins_xfer ? timer_0_s1_unreg_firsttransfer : timer_0_s1_reg_firsttransfer;
4044
 
4045
  //timer_0_s1_unreg_firsttransfer first transaction, which is an e_assign
4046
  assign timer_0_s1_unreg_firsttransfer = ~(timer_0_s1_slavearbiterlockenable & timer_0_s1_any_continuerequest);
4047
 
4048
  //timer_0_s1_reg_firsttransfer first transaction, which is an e_register
4049
  always @(posedge clk or negedge reset_n)
4050
    begin
4051
      if (reset_n == 0)
4052
          timer_0_s1_reg_firsttransfer <= 1'b1;
4053
      else if (timer_0_s1_begins_xfer)
4054
          timer_0_s1_reg_firsttransfer <= timer_0_s1_unreg_firsttransfer;
4055
    end
4056
 
4057
 
4058
  //timer_0_s1_beginbursttransfer_internal begin burst transfer, which is an e_assign
4059
  assign timer_0_s1_beginbursttransfer_internal = timer_0_s1_begins_xfer;
4060
 
4061
  //~timer_0_s1_write_n assignment, which is an e_mux
4062
  assign timer_0_s1_write_n = ~(cpu_0_data_master_granted_timer_0_s1 & cpu_0_data_master_write);
4063
 
4064
  assign shifted_address_to_timer_0_s1_from_cpu_0_data_master = cpu_0_data_master_address_to_slave;
4065
  //timer_0_s1_address mux, which is an e_mux
4066
  assign timer_0_s1_address = shifted_address_to_timer_0_s1_from_cpu_0_data_master >> 2;
4067
 
4068
  //d1_timer_0_s1_end_xfer register, which is an e_register
4069
  always @(posedge clk or negedge reset_n)
4070
    begin
4071
      if (reset_n == 0)
4072
          d1_timer_0_s1_end_xfer <= 1;
4073
      else
4074
        d1_timer_0_s1_end_xfer <= timer_0_s1_end_xfer;
4075
    end
4076
 
4077
 
4078
  //timer_0_s1_waits_for_read in a cycle, which is an e_mux
4079
  assign timer_0_s1_waits_for_read = timer_0_s1_in_a_read_cycle & timer_0_s1_begins_xfer;
4080
 
4081
  //timer_0_s1_in_a_read_cycle assignment, which is an e_assign
4082
  assign timer_0_s1_in_a_read_cycle = cpu_0_data_master_granted_timer_0_s1 & cpu_0_data_master_read;
4083
 
4084
  //in_a_read_cycle assignment, which is an e_mux
4085
  assign in_a_read_cycle = timer_0_s1_in_a_read_cycle;
4086
 
4087
  //timer_0_s1_waits_for_write in a cycle, which is an e_mux
4088
  assign timer_0_s1_waits_for_write = timer_0_s1_in_a_write_cycle & 0;
4089
 
4090
  //timer_0_s1_in_a_write_cycle assignment, which is an e_assign
4091
  assign timer_0_s1_in_a_write_cycle = cpu_0_data_master_granted_timer_0_s1 & cpu_0_data_master_write;
4092
 
4093
  //in_a_write_cycle assignment, which is an e_mux
4094
  assign in_a_write_cycle = timer_0_s1_in_a_write_cycle;
4095
 
4096
  assign wait_for_timer_0_s1_counter = 0;
4097
  //assign timer_0_s1_irq_from_sa = timer_0_s1_irq so that symbol knows where to group signals which may go to master only, which is an e_assign
4098
  assign timer_0_s1_irq_from_sa = timer_0_s1_irq;
4099
 
4100
 
4101
//synthesis translate_off
4102
//////////////// SIMULATION-ONLY CONTENTS
4103
  //timer_0/s1 enable non-zero assertions, which is an e_register
4104
  always @(posedge clk or negedge reset_n)
4105
    begin
4106
      if (reset_n == 0)
4107
          enable_nonzero_assertions <= 0;
4108
      else
4109
        enable_nonzero_assertions <= 1'b1;
4110
    end
4111
 
4112
 
4113
 
4114
//////////////// END SIMULATION-ONLY CONTENTS
4115
 
4116
//synthesis translate_on
4117
 
4118
endmodule
4119
 
4120
 
4121
// synthesis translate_off
4122
`timescale 1ns / 1ps
4123
// synthesis translate_on
4124
 
4125
// turn off superfluous verilog processor warnings 
4126
// altera message_level Level1 
4127
// altera message_off 10034 10035 10036 10037 10230 10240 10030 
4128
 
4129
module nios_ii_sram_reset_clk_0_domain_synch_module (
4130
                                                      // inputs:
4131
                                                       clk,
4132
                                                       data_in,
4133
                                                       reset_n,
4134
 
4135
                                                      // outputs:
4136
                                                       data_out
4137
                                                    )
4138
;
4139
 
4140
  output           data_out;
4141
  input            clk;
4142
  input            data_in;
4143
  input            reset_n;
4144
 
4145
  reg              data_in_d1 /* synthesis ALTERA_ATTRIBUTE = "{-from \"*\"} CUT=ON ; PRESERVE_REGISTER=ON ; SUPPRESS_DA_RULE_INTERNAL=R101"  */;
4146
  reg              data_out /* synthesis ALTERA_ATTRIBUTE = "PRESERVE_REGISTER=ON ; SUPPRESS_DA_RULE_INTERNAL=R101"  */;
4147
  always @(posedge clk or negedge reset_n)
4148
    begin
4149
      if (reset_n == 0)
4150
          data_in_d1 <= 0;
4151
      else
4152
        data_in_d1 <= data_in;
4153
    end
4154
 
4155
 
4156
  always @(posedge clk or negedge reset_n)
4157
    begin
4158
      if (reset_n == 0)
4159
          data_out <= 0;
4160
      else
4161
        data_out <= data_in_d1;
4162
    end
4163
 
4164
 
4165
 
4166
endmodule
4167
 
4168
 
4169
// synthesis translate_off
4170
`timescale 1ns / 1ps
4171
// synthesis translate_on
4172
 
4173
// turn off superfluous verilog processor warnings 
4174
// altera message_level Level1 
4175
// altera message_off 10034 10035 10036 10037 10230 10240 10030 
4176
 
4177
module nios_ii_sram (
4178
                      // 1) global signals:
4179
                       clk_0,
4180
                       reset_n,
4181
 
4182
                      // the_hibi_pe_dma_0
4183
                       hibi_av_in_to_the_hibi_pe_dma_0,
4184
                       hibi_av_out_from_the_hibi_pe_dma_0,
4185
                       hibi_comm_in_to_the_hibi_pe_dma_0,
4186
                       hibi_comm_out_from_the_hibi_pe_dma_0,
4187
                       hibi_data_in_to_the_hibi_pe_dma_0,
4188
                       hibi_data_out_from_the_hibi_pe_dma_0,
4189
                       hibi_empty_in_to_the_hibi_pe_dma_0,
4190
                       hibi_full_in_to_the_hibi_pe_dma_0,
4191
                       hibi_re_out_from_the_hibi_pe_dma_0,
4192
                       hibi_we_out_from_the_hibi_pe_dma_0,
4193
 
4194
                      // the_sram_0
4195
                       SRAM_ADDR_from_the_sram_0,
4196
                       SRAM_CE_N_from_the_sram_0,
4197
                       SRAM_DQ_to_and_from_the_sram_0,
4198
                       SRAM_LB_N_from_the_sram_0,
4199
                       SRAM_OE_N_from_the_sram_0,
4200
                       SRAM_UB_N_from_the_sram_0,
4201
                       SRAM_WE_N_from_the_sram_0
4202
                    )
4203
;
4204
 
4205
  output  [ 17: 0] SRAM_ADDR_from_the_sram_0;
4206
  output           SRAM_CE_N_from_the_sram_0;
4207
  inout   [ 15: 0] SRAM_DQ_to_and_from_the_sram_0;
4208
  output           SRAM_LB_N_from_the_sram_0;
4209
  output           SRAM_OE_N_from_the_sram_0;
4210
  output           SRAM_UB_N_from_the_sram_0;
4211
  output           SRAM_WE_N_from_the_sram_0;
4212
  output           hibi_av_out_from_the_hibi_pe_dma_0;
4213
  output  [  4: 0] hibi_comm_out_from_the_hibi_pe_dma_0;
4214
  output  [ 31: 0] hibi_data_out_from_the_hibi_pe_dma_0;
4215
  output           hibi_re_out_from_the_hibi_pe_dma_0;
4216
  output           hibi_we_out_from_the_hibi_pe_dma_0;
4217
  input            clk_0;
4218
  input            hibi_av_in_to_the_hibi_pe_dma_0;
4219
  input   [  4: 0] hibi_comm_in_to_the_hibi_pe_dma_0;
4220
  input   [ 31: 0] hibi_data_in_to_the_hibi_pe_dma_0;
4221
  input            hibi_empty_in_to_the_hibi_pe_dma_0;
4222
  input            hibi_full_in_to_the_hibi_pe_dma_0;
4223
  input            reset_n;
4224
 
4225
  wire    [ 17: 0] SRAM_ADDR_from_the_sram_0;
4226
  wire             SRAM_CE_N_from_the_sram_0;
4227
  wire    [ 15: 0] SRAM_DQ_to_and_from_the_sram_0;
4228
  wire             SRAM_LB_N_from_the_sram_0;
4229
  wire             SRAM_OE_N_from_the_sram_0;
4230
  wire             SRAM_UB_N_from_the_sram_0;
4231
  wire             SRAM_WE_N_from_the_sram_0;
4232
  wire             clk_0_reset_n;
4233
  wire    [ 20: 0] cpu_0_data_master_address;
4234
  wire    [ 20: 0] cpu_0_data_master_address_to_slave;
4235
  wire    [  3: 0] cpu_0_data_master_byteenable;
4236
  wire    [  1: 0] cpu_0_data_master_byteenable_sram_0_avalon_sram_slave;
4237
  wire    [  1: 0] cpu_0_data_master_dbs_address;
4238
  wire    [ 15: 0] cpu_0_data_master_dbs_write_16;
4239
  wire             cpu_0_data_master_debugaccess;
4240
  wire             cpu_0_data_master_granted_cpu_0_jtag_debug_module;
4241
  wire             cpu_0_data_master_granted_hibi_pe_dma_0_avalon_slave_0;
4242
  wire             cpu_0_data_master_granted_jtag_uart_0_avalon_jtag_slave;
4243
  wire             cpu_0_data_master_granted_onchip_memory_0_s2;
4244
  wire             cpu_0_data_master_granted_sram_0_avalon_sram_slave;
4245
  wire             cpu_0_data_master_granted_sysid_control_slave;
4246
  wire             cpu_0_data_master_granted_timer_0_s1;
4247
  wire    [ 31: 0] cpu_0_data_master_irq;
4248
  wire    [  1: 0] cpu_0_data_master_latency_counter;
4249
  wire             cpu_0_data_master_qualified_request_cpu_0_jtag_debug_module;
4250
  wire             cpu_0_data_master_qualified_request_hibi_pe_dma_0_avalon_slave_0;
4251
  wire             cpu_0_data_master_qualified_request_jtag_uart_0_avalon_jtag_slave;
4252
  wire             cpu_0_data_master_qualified_request_onchip_memory_0_s2;
4253
  wire             cpu_0_data_master_qualified_request_sram_0_avalon_sram_slave;
4254
  wire             cpu_0_data_master_qualified_request_sysid_control_slave;
4255
  wire             cpu_0_data_master_qualified_request_timer_0_s1;
4256
  wire             cpu_0_data_master_read;
4257
  wire             cpu_0_data_master_read_data_valid_cpu_0_jtag_debug_module;
4258
  wire             cpu_0_data_master_read_data_valid_hibi_pe_dma_0_avalon_slave_0;
4259
  wire             cpu_0_data_master_read_data_valid_jtag_uart_0_avalon_jtag_slave;
4260
  wire             cpu_0_data_master_read_data_valid_onchip_memory_0_s2;
4261
  wire             cpu_0_data_master_read_data_valid_sram_0_avalon_sram_slave;
4262
  wire             cpu_0_data_master_read_data_valid_sysid_control_slave;
4263
  wire             cpu_0_data_master_read_data_valid_timer_0_s1;
4264
  wire    [ 31: 0] cpu_0_data_master_readdata;
4265
  wire             cpu_0_data_master_readdatavalid;
4266
  wire             cpu_0_data_master_requests_cpu_0_jtag_debug_module;
4267
  wire             cpu_0_data_master_requests_hibi_pe_dma_0_avalon_slave_0;
4268
  wire             cpu_0_data_master_requests_jtag_uart_0_avalon_jtag_slave;
4269
  wire             cpu_0_data_master_requests_onchip_memory_0_s2;
4270
  wire             cpu_0_data_master_requests_sram_0_avalon_sram_slave;
4271
  wire             cpu_0_data_master_requests_sysid_control_slave;
4272
  wire             cpu_0_data_master_requests_timer_0_s1;
4273
  wire             cpu_0_data_master_waitrequest;
4274
  wire             cpu_0_data_master_write;
4275
  wire    [ 31: 0] cpu_0_data_master_writedata;
4276
  wire    [ 20: 0] cpu_0_instruction_master_address;
4277
  wire    [ 20: 0] cpu_0_instruction_master_address_to_slave;
4278
  wire    [  1: 0] cpu_0_instruction_master_dbs_address;
4279
  wire             cpu_0_instruction_master_granted_cpu_0_jtag_debug_module;
4280
  wire             cpu_0_instruction_master_granted_onchip_memory_0_s2;
4281
  wire             cpu_0_instruction_master_granted_sram_0_avalon_sram_slave;
4282
  wire    [  1: 0] cpu_0_instruction_master_latency_counter;
4283
  wire             cpu_0_instruction_master_qualified_request_cpu_0_jtag_debug_module;
4284
  wire             cpu_0_instruction_master_qualified_request_onchip_memory_0_s2;
4285
  wire             cpu_0_instruction_master_qualified_request_sram_0_avalon_sram_slave;
4286
  wire             cpu_0_instruction_master_read;
4287
  wire             cpu_0_instruction_master_read_data_valid_cpu_0_jtag_debug_module;
4288
  wire             cpu_0_instruction_master_read_data_valid_onchip_memory_0_s2;
4289
  wire             cpu_0_instruction_master_read_data_valid_sram_0_avalon_sram_slave;
4290
  wire    [ 31: 0] cpu_0_instruction_master_readdata;
4291
  wire             cpu_0_instruction_master_readdatavalid;
4292
  wire             cpu_0_instruction_master_requests_cpu_0_jtag_debug_module;
4293
  wire             cpu_0_instruction_master_requests_onchip_memory_0_s2;
4294
  wire             cpu_0_instruction_master_requests_sram_0_avalon_sram_slave;
4295
  wire             cpu_0_instruction_master_waitrequest;
4296
  wire    [  8: 0] cpu_0_jtag_debug_module_address;
4297
  wire             cpu_0_jtag_debug_module_begintransfer;
4298
  wire    [  3: 0] cpu_0_jtag_debug_module_byteenable;
4299
  wire             cpu_0_jtag_debug_module_chipselect;
4300
  wire             cpu_0_jtag_debug_module_debugaccess;
4301
  wire    [ 31: 0] cpu_0_jtag_debug_module_readdata;
4302
  wire    [ 31: 0] cpu_0_jtag_debug_module_readdata_from_sa;
4303
  wire             cpu_0_jtag_debug_module_reset_n;
4304
  wire             cpu_0_jtag_debug_module_resetrequest;
4305
  wire             cpu_0_jtag_debug_module_resetrequest_from_sa;
4306
  wire             cpu_0_jtag_debug_module_write;
4307
  wire    [ 31: 0] cpu_0_jtag_debug_module_writedata;
4308
  wire             d1_cpu_0_jtag_debug_module_end_xfer;
4309
  wire             d1_hibi_pe_dma_0_avalon_slave_0_end_xfer;
4310
  wire             d1_jtag_uart_0_avalon_jtag_slave_end_xfer;
4311
  wire             d1_onchip_memory_0_s1_end_xfer;
4312
  wire             d1_onchip_memory_0_s2_end_xfer;
4313
  wire             d1_sram_0_avalon_sram_slave_end_xfer;
4314
  wire             d1_sysid_control_slave_end_xfer;
4315
  wire             d1_timer_0_s1_end_xfer;
4316
  wire             hibi_av_out_from_the_hibi_pe_dma_0;
4317
  wire    [  4: 0] hibi_comm_out_from_the_hibi_pe_dma_0;
4318
  wire    [ 31: 0] hibi_data_out_from_the_hibi_pe_dma_0;
4319
  wire    [ 31: 0] hibi_pe_dma_0_avalon_master_1_address;
4320
  wire    [ 31: 0] hibi_pe_dma_0_avalon_master_1_address_to_slave;
4321
  wire             hibi_pe_dma_0_avalon_master_1_granted_onchip_memory_0_s1;
4322
  wire             hibi_pe_dma_0_avalon_master_1_latency_counter;
4323
  wire             hibi_pe_dma_0_avalon_master_1_qualified_request_onchip_memory_0_s1;
4324
  wire             hibi_pe_dma_0_avalon_master_1_read;
4325
  wire             hibi_pe_dma_0_avalon_master_1_read_data_valid_onchip_memory_0_s1;
4326
  wire    [ 31: 0] hibi_pe_dma_0_avalon_master_1_readdata;
4327
  wire             hibi_pe_dma_0_avalon_master_1_readdatavalid;
4328
  wire             hibi_pe_dma_0_avalon_master_1_requests_onchip_memory_0_s1;
4329
  wire             hibi_pe_dma_0_avalon_master_1_waitrequest;
4330
  wire    [ 31: 0] hibi_pe_dma_0_avalon_master_address;
4331
  wire    [ 31: 0] hibi_pe_dma_0_avalon_master_address_to_slave;
4332
  wire    [  3: 0] hibi_pe_dma_0_avalon_master_byteenable;
4333
  wire             hibi_pe_dma_0_avalon_master_granted_onchip_memory_0_s1;
4334
  wire             hibi_pe_dma_0_avalon_master_qualified_request_onchip_memory_0_s1;
4335
  wire             hibi_pe_dma_0_avalon_master_requests_onchip_memory_0_s1;
4336
  wire             hibi_pe_dma_0_avalon_master_waitrequest;
4337
  wire             hibi_pe_dma_0_avalon_master_write;
4338
  wire    [ 31: 0] hibi_pe_dma_0_avalon_master_writedata;
4339
  wire    [  6: 0] hibi_pe_dma_0_avalon_slave_0_address;
4340
  wire             hibi_pe_dma_0_avalon_slave_0_chipselect;
4341
  wire             hibi_pe_dma_0_avalon_slave_0_irq;
4342
  wire             hibi_pe_dma_0_avalon_slave_0_irq_from_sa;
4343
  wire             hibi_pe_dma_0_avalon_slave_0_read;
4344
  wire    [ 31: 0] hibi_pe_dma_0_avalon_slave_0_readdata;
4345
  wire    [ 31: 0] hibi_pe_dma_0_avalon_slave_0_readdata_from_sa;
4346
  wire             hibi_pe_dma_0_avalon_slave_0_reset_n;
4347
  wire             hibi_pe_dma_0_avalon_slave_0_waitrequest;
4348
  wire             hibi_pe_dma_0_avalon_slave_0_waitrequest_from_sa;
4349
  wire             hibi_pe_dma_0_avalon_slave_0_write;
4350
  wire    [ 31: 0] hibi_pe_dma_0_avalon_slave_0_writedata;
4351
  wire             hibi_re_out_from_the_hibi_pe_dma_0;
4352
  wire             hibi_we_out_from_the_hibi_pe_dma_0;
4353
  wire             jtag_uart_0_avalon_jtag_slave_address;
4354
  wire             jtag_uart_0_avalon_jtag_slave_chipselect;
4355
  wire             jtag_uart_0_avalon_jtag_slave_dataavailable;
4356
  wire             jtag_uart_0_avalon_jtag_slave_dataavailable_from_sa;
4357
  wire             jtag_uart_0_avalon_jtag_slave_irq;
4358
  wire             jtag_uart_0_avalon_jtag_slave_irq_from_sa;
4359
  wire             jtag_uart_0_avalon_jtag_slave_read_n;
4360
  wire    [ 31: 0] jtag_uart_0_avalon_jtag_slave_readdata;
4361
  wire    [ 31: 0] jtag_uart_0_avalon_jtag_slave_readdata_from_sa;
4362
  wire             jtag_uart_0_avalon_jtag_slave_readyfordata;
4363
  wire             jtag_uart_0_avalon_jtag_slave_readyfordata_from_sa;
4364
  wire             jtag_uart_0_avalon_jtag_slave_reset_n;
4365
  wire             jtag_uart_0_avalon_jtag_slave_waitrequest;
4366
  wire             jtag_uart_0_avalon_jtag_slave_waitrequest_from_sa;
4367
  wire             jtag_uart_0_avalon_jtag_slave_write_n;
4368
  wire    [ 31: 0] jtag_uart_0_avalon_jtag_slave_writedata;
4369
  wire    [  8: 0] onchip_memory_0_s1_address;
4370
  wire    [  3: 0] onchip_memory_0_s1_byteenable;
4371
  wire             onchip_memory_0_s1_chipselect;
4372
  wire             onchip_memory_0_s1_clken;
4373
  wire    [ 31: 0] onchip_memory_0_s1_readdata;
4374
  wire    [ 31: 0] onchip_memory_0_s1_readdata_from_sa;
4375
  wire             onchip_memory_0_s1_reset;
4376
  wire             onchip_memory_0_s1_write;
4377
  wire    [ 31: 0] onchip_memory_0_s1_writedata;
4378
  wire    [  8: 0] onchip_memory_0_s2_address;
4379
  wire    [  3: 0] onchip_memory_0_s2_byteenable;
4380
  wire             onchip_memory_0_s2_chipselect;
4381
  wire             onchip_memory_0_s2_clken;
4382
  wire    [ 31: 0] onchip_memory_0_s2_readdata;
4383
  wire    [ 31: 0] onchip_memory_0_s2_readdata_from_sa;
4384
  wire             onchip_memory_0_s2_reset;
4385
  wire             onchip_memory_0_s2_write;
4386
  wire    [ 31: 0] onchip_memory_0_s2_writedata;
4387
  wire             reset_n_sources;
4388
  wire    [ 17: 0] sram_0_avalon_sram_slave_address;
4389
  wire    [  1: 0] sram_0_avalon_sram_slave_byteenable;
4390
  wire             sram_0_avalon_sram_slave_read;
4391
  wire    [ 15: 0] sram_0_avalon_sram_slave_readdata;
4392
  wire    [ 15: 0] sram_0_avalon_sram_slave_readdata_from_sa;
4393
  wire             sram_0_avalon_sram_slave_reset;
4394
  wire             sram_0_avalon_sram_slave_write;
4395
  wire    [ 15: 0] sram_0_avalon_sram_slave_writedata;
4396
  wire             sysid_control_slave_address;
4397
  wire             sysid_control_slave_clock;
4398
  wire    [ 31: 0] sysid_control_slave_readdata;
4399
  wire    [ 31: 0] sysid_control_slave_readdata_from_sa;
4400
  wire             sysid_control_slave_reset_n;
4401
  wire    [  2: 0] timer_0_s1_address;
4402
  wire             timer_0_s1_chipselect;
4403
  wire             timer_0_s1_irq;
4404
  wire             timer_0_s1_irq_from_sa;
4405
  wire    [ 15: 0] timer_0_s1_readdata;
4406
  wire    [ 15: 0] timer_0_s1_readdata_from_sa;
4407
  wire             timer_0_s1_reset_n;
4408
  wire             timer_0_s1_write_n;
4409
  wire    [ 15: 0] timer_0_s1_writedata;
4410
  cpu_0_jtag_debug_module_arbitrator the_cpu_0_jtag_debug_module
4411
    (
4412
      .clk                                                                (clk_0),
4413
      .cpu_0_data_master_address_to_slave                                 (cpu_0_data_master_address_to_slave),
4414
      .cpu_0_data_master_byteenable                                       (cpu_0_data_master_byteenable),
4415
      .cpu_0_data_master_debugaccess                                      (cpu_0_data_master_debugaccess),
4416
      .cpu_0_data_master_granted_cpu_0_jtag_debug_module                  (cpu_0_data_master_granted_cpu_0_jtag_debug_module),
4417
      .cpu_0_data_master_latency_counter                                  (cpu_0_data_master_latency_counter),
4418
      .cpu_0_data_master_qualified_request_cpu_0_jtag_debug_module        (cpu_0_data_master_qualified_request_cpu_0_jtag_debug_module),
4419
      .cpu_0_data_master_read                                             (cpu_0_data_master_read),
4420
      .cpu_0_data_master_read_data_valid_cpu_0_jtag_debug_module          (cpu_0_data_master_read_data_valid_cpu_0_jtag_debug_module),
4421
      .cpu_0_data_master_requests_cpu_0_jtag_debug_module                 (cpu_0_data_master_requests_cpu_0_jtag_debug_module),
4422
      .cpu_0_data_master_write                                            (cpu_0_data_master_write),
4423
      .cpu_0_data_master_writedata                                        (cpu_0_data_master_writedata),
4424
      .cpu_0_instruction_master_address_to_slave                          (cpu_0_instruction_master_address_to_slave),
4425
      .cpu_0_instruction_master_granted_cpu_0_jtag_debug_module           (cpu_0_instruction_master_granted_cpu_0_jtag_debug_module),
4426
      .cpu_0_instruction_master_latency_counter                           (cpu_0_instruction_master_latency_counter),
4427
      .cpu_0_instruction_master_qualified_request_cpu_0_jtag_debug_module (cpu_0_instruction_master_qualified_request_cpu_0_jtag_debug_module),
4428
      .cpu_0_instruction_master_read                                      (cpu_0_instruction_master_read),
4429
      .cpu_0_instruction_master_read_data_valid_cpu_0_jtag_debug_module   (cpu_0_instruction_master_read_data_valid_cpu_0_jtag_debug_module),
4430
      .cpu_0_instruction_master_requests_cpu_0_jtag_debug_module          (cpu_0_instruction_master_requests_cpu_0_jtag_debug_module),
4431
      .cpu_0_jtag_debug_module_address                                    (cpu_0_jtag_debug_module_address),
4432
      .cpu_0_jtag_debug_module_begintransfer                              (cpu_0_jtag_debug_module_begintransfer),
4433
      .cpu_0_jtag_debug_module_byteenable                                 (cpu_0_jtag_debug_module_byteenable),
4434
      .cpu_0_jtag_debug_module_chipselect                                 (cpu_0_jtag_debug_module_chipselect),
4435
      .cpu_0_jtag_debug_module_debugaccess                                (cpu_0_jtag_debug_module_debugaccess),
4436
      .cpu_0_jtag_debug_module_readdata                                   (cpu_0_jtag_debug_module_readdata),
4437
      .cpu_0_jtag_debug_module_readdata_from_sa                           (cpu_0_jtag_debug_module_readdata_from_sa),
4438
      .cpu_0_jtag_debug_module_reset_n                                    (cpu_0_jtag_debug_module_reset_n),
4439
      .cpu_0_jtag_debug_module_resetrequest                               (cpu_0_jtag_debug_module_resetrequest),
4440
      .cpu_0_jtag_debug_module_resetrequest_from_sa                       (cpu_0_jtag_debug_module_resetrequest_from_sa),
4441
      .cpu_0_jtag_debug_module_write                                      (cpu_0_jtag_debug_module_write),
4442
      .cpu_0_jtag_debug_module_writedata                                  (cpu_0_jtag_debug_module_writedata),
4443
      .d1_cpu_0_jtag_debug_module_end_xfer                                (d1_cpu_0_jtag_debug_module_end_xfer),
4444
      .reset_n                                                            (clk_0_reset_n)
4445
    );
4446
 
4447
  cpu_0_data_master_arbitrator the_cpu_0_data_master
4448
    (
4449
      .clk                                                               (clk_0),
4450
      .cpu_0_data_master_address                                         (cpu_0_data_master_address),
4451
      .cpu_0_data_master_address_to_slave                                (cpu_0_data_master_address_to_slave),
4452
      .cpu_0_data_master_byteenable                                      (cpu_0_data_master_byteenable),
4453
      .cpu_0_data_master_byteenable_sram_0_avalon_sram_slave             (cpu_0_data_master_byteenable_sram_0_avalon_sram_slave),
4454
      .cpu_0_data_master_dbs_address                                     (cpu_0_data_master_dbs_address),
4455
      .cpu_0_data_master_dbs_write_16                                    (cpu_0_data_master_dbs_write_16),
4456
      .cpu_0_data_master_granted_cpu_0_jtag_debug_module                 (cpu_0_data_master_granted_cpu_0_jtag_debug_module),
4457
      .cpu_0_data_master_granted_hibi_pe_dma_0_avalon_slave_0            (cpu_0_data_master_granted_hibi_pe_dma_0_avalon_slave_0),
4458
      .cpu_0_data_master_granted_jtag_uart_0_avalon_jtag_slave           (cpu_0_data_master_granted_jtag_uart_0_avalon_jtag_slave),
4459
      .cpu_0_data_master_granted_onchip_memory_0_s2                      (cpu_0_data_master_granted_onchip_memory_0_s2),
4460
      .cpu_0_data_master_granted_sram_0_avalon_sram_slave                (cpu_0_data_master_granted_sram_0_avalon_sram_slave),
4461
      .cpu_0_data_master_granted_sysid_control_slave                     (cpu_0_data_master_granted_sysid_control_slave),
4462
      .cpu_0_data_master_granted_timer_0_s1                              (cpu_0_data_master_granted_timer_0_s1),
4463
      .cpu_0_data_master_irq                                             (cpu_0_data_master_irq),
4464
      .cpu_0_data_master_latency_counter                                 (cpu_0_data_master_latency_counter),
4465
      .cpu_0_data_master_qualified_request_cpu_0_jtag_debug_module       (cpu_0_data_master_qualified_request_cpu_0_jtag_debug_module),
4466
      .cpu_0_data_master_qualified_request_hibi_pe_dma_0_avalon_slave_0  (cpu_0_data_master_qualified_request_hibi_pe_dma_0_avalon_slave_0),
4467
      .cpu_0_data_master_qualified_request_jtag_uart_0_avalon_jtag_slave (cpu_0_data_master_qualified_request_jtag_uart_0_avalon_jtag_slave),
4468
      .cpu_0_data_master_qualified_request_onchip_memory_0_s2            (cpu_0_data_master_qualified_request_onchip_memory_0_s2),
4469
      .cpu_0_data_master_qualified_request_sram_0_avalon_sram_slave      (cpu_0_data_master_qualified_request_sram_0_avalon_sram_slave),
4470
      .cpu_0_data_master_qualified_request_sysid_control_slave           (cpu_0_data_master_qualified_request_sysid_control_slave),
4471
      .cpu_0_data_master_qualified_request_timer_0_s1                    (cpu_0_data_master_qualified_request_timer_0_s1),
4472
      .cpu_0_data_master_read                                            (cpu_0_data_master_read),
4473
      .cpu_0_data_master_read_data_valid_cpu_0_jtag_debug_module         (cpu_0_data_master_read_data_valid_cpu_0_jtag_debug_module),
4474
      .cpu_0_data_master_read_data_valid_hibi_pe_dma_0_avalon_slave_0    (cpu_0_data_master_read_data_valid_hibi_pe_dma_0_avalon_slave_0),
4475
      .cpu_0_data_master_read_data_valid_jtag_uart_0_avalon_jtag_slave   (cpu_0_data_master_read_data_valid_jtag_uart_0_avalon_jtag_slave),
4476
      .cpu_0_data_master_read_data_valid_onchip_memory_0_s2              (cpu_0_data_master_read_data_valid_onchip_memory_0_s2),
4477
      .cpu_0_data_master_read_data_valid_sram_0_avalon_sram_slave        (cpu_0_data_master_read_data_valid_sram_0_avalon_sram_slave),
4478
      .cpu_0_data_master_read_data_valid_sysid_control_slave             (cpu_0_data_master_read_data_valid_sysid_control_slave),
4479
      .cpu_0_data_master_read_data_valid_timer_0_s1                      (cpu_0_data_master_read_data_valid_timer_0_s1),
4480
      .cpu_0_data_master_readdata                                        (cpu_0_data_master_readdata),
4481
      .cpu_0_data_master_readdatavalid                                   (cpu_0_data_master_readdatavalid),
4482
      .cpu_0_data_master_requests_cpu_0_jtag_debug_module                (cpu_0_data_master_requests_cpu_0_jtag_debug_module),
4483
      .cpu_0_data_master_requests_hibi_pe_dma_0_avalon_slave_0           (cpu_0_data_master_requests_hibi_pe_dma_0_avalon_slave_0),
4484
      .cpu_0_data_master_requests_jtag_uart_0_avalon_jtag_slave          (cpu_0_data_master_requests_jtag_uart_0_avalon_jtag_slave),
4485
      .cpu_0_data_master_requests_onchip_memory_0_s2                     (cpu_0_data_master_requests_onchip_memory_0_s2),
4486
      .cpu_0_data_master_requests_sram_0_avalon_sram_slave               (cpu_0_data_master_requests_sram_0_avalon_sram_slave),
4487
      .cpu_0_data_master_requests_sysid_control_slave                    (cpu_0_data_master_requests_sysid_control_slave),
4488
      .cpu_0_data_master_requests_timer_0_s1                             (cpu_0_data_master_requests_timer_0_s1),
4489
      .cpu_0_data_master_waitrequest                                     (cpu_0_data_master_waitrequest),
4490
      .cpu_0_data_master_write                                           (cpu_0_data_master_write),
4491
      .cpu_0_data_master_writedata                                       (cpu_0_data_master_writedata),
4492
      .cpu_0_jtag_debug_module_readdata_from_sa                          (cpu_0_jtag_debug_module_readdata_from_sa),
4493
      .d1_cpu_0_jtag_debug_module_end_xfer                               (d1_cpu_0_jtag_debug_module_end_xfer),
4494
      .d1_hibi_pe_dma_0_avalon_slave_0_end_xfer                          (d1_hibi_pe_dma_0_avalon_slave_0_end_xfer),
4495
      .d1_jtag_uart_0_avalon_jtag_slave_end_xfer                         (d1_jtag_uart_0_avalon_jtag_slave_end_xfer),
4496
      .d1_onchip_memory_0_s2_end_xfer                                    (d1_onchip_memory_0_s2_end_xfer),
4497
      .d1_sram_0_avalon_sram_slave_end_xfer                              (d1_sram_0_avalon_sram_slave_end_xfer),
4498
      .d1_sysid_control_slave_end_xfer                                   (d1_sysid_control_slave_end_xfer),
4499
      .d1_timer_0_s1_end_xfer                                            (d1_timer_0_s1_end_xfer),
4500
      .hibi_pe_dma_0_avalon_slave_0_irq_from_sa                          (hibi_pe_dma_0_avalon_slave_0_irq_from_sa),
4501
      .hibi_pe_dma_0_avalon_slave_0_readdata_from_sa                     (hibi_pe_dma_0_avalon_slave_0_readdata_from_sa),
4502
      .hibi_pe_dma_0_avalon_slave_0_waitrequest_from_sa                  (hibi_pe_dma_0_avalon_slave_0_waitrequest_from_sa),
4503
      .jtag_uart_0_avalon_jtag_slave_irq_from_sa                         (jtag_uart_0_avalon_jtag_slave_irq_from_sa),
4504
      .jtag_uart_0_avalon_jtag_slave_readdata_from_sa                    (jtag_uart_0_avalon_jtag_slave_readdata_from_sa),
4505
      .jtag_uart_0_avalon_jtag_slave_waitrequest_from_sa                 (jtag_uart_0_avalon_jtag_slave_waitrequest_from_sa),
4506
      .onchip_memory_0_s2_readdata_from_sa                               (onchip_memory_0_s2_readdata_from_sa),
4507
      .reset_n                                                           (clk_0_reset_n),
4508
      .sram_0_avalon_sram_slave_readdata_from_sa                         (sram_0_avalon_sram_slave_readdata_from_sa),
4509
      .sysid_control_slave_readdata_from_sa                              (sysid_control_slave_readdata_from_sa),
4510
      .timer_0_s1_irq_from_sa                                            (timer_0_s1_irq_from_sa),
4511
      .timer_0_s1_readdata_from_sa                                       (timer_0_s1_readdata_from_sa)
4512
    );
4513
 
4514
  cpu_0_instruction_master_arbitrator the_cpu_0_instruction_master
4515
    (
4516
      .clk                                                                 (clk_0),
4517
      .cpu_0_instruction_master_address                                    (cpu_0_instruction_master_address),
4518
      .cpu_0_instruction_master_address_to_slave                           (cpu_0_instruction_master_address_to_slave),
4519
      .cpu_0_instruction_master_dbs_address                                (cpu_0_instruction_master_dbs_address),
4520
      .cpu_0_instruction_master_granted_cpu_0_jtag_debug_module            (cpu_0_instruction_master_granted_cpu_0_jtag_debug_module),
4521
      .cpu_0_instruction_master_granted_onchip_memory_0_s2                 (cpu_0_instruction_master_granted_onchip_memory_0_s2),
4522
      .cpu_0_instruction_master_granted_sram_0_avalon_sram_slave           (cpu_0_instruction_master_granted_sram_0_avalon_sram_slave),
4523
      .cpu_0_instruction_master_latency_counter                            (cpu_0_instruction_master_latency_counter),
4524
      .cpu_0_instruction_master_qualified_request_cpu_0_jtag_debug_module  (cpu_0_instruction_master_qualified_request_cpu_0_jtag_debug_module),
4525
      .cpu_0_instruction_master_qualified_request_onchip_memory_0_s2       (cpu_0_instruction_master_qualified_request_onchip_memory_0_s2),
4526
      .cpu_0_instruction_master_qualified_request_sram_0_avalon_sram_slave (cpu_0_instruction_master_qualified_request_sram_0_avalon_sram_slave),
4527
      .cpu_0_instruction_master_read                                       (cpu_0_instruction_master_read),
4528
      .cpu_0_instruction_master_read_data_valid_cpu_0_jtag_debug_module    (cpu_0_instruction_master_read_data_valid_cpu_0_jtag_debug_module),
4529
      .cpu_0_instruction_master_read_data_valid_onchip_memory_0_s2         (cpu_0_instruction_master_read_data_valid_onchip_memory_0_s2),
4530
      .cpu_0_instruction_master_read_data_valid_sram_0_avalon_sram_slave   (cpu_0_instruction_master_read_data_valid_sram_0_avalon_sram_slave),
4531
      .cpu_0_instruction_master_readdata                                   (cpu_0_instruction_master_readdata),
4532
      .cpu_0_instruction_master_readdatavalid                              (cpu_0_instruction_master_readdatavalid),
4533
      .cpu_0_instruction_master_requests_cpu_0_jtag_debug_module           (cpu_0_instruction_master_requests_cpu_0_jtag_debug_module),
4534
      .cpu_0_instruction_master_requests_onchip_memory_0_s2                (cpu_0_instruction_master_requests_onchip_memory_0_s2),
4535
      .cpu_0_instruction_master_requests_sram_0_avalon_sram_slave          (cpu_0_instruction_master_requests_sram_0_avalon_sram_slave),
4536
      .cpu_0_instruction_master_waitrequest                                (cpu_0_instruction_master_waitrequest),
4537
      .cpu_0_jtag_debug_module_readdata_from_sa                            (cpu_0_jtag_debug_module_readdata_from_sa),
4538
      .d1_cpu_0_jtag_debug_module_end_xfer                                 (d1_cpu_0_jtag_debug_module_end_xfer),
4539
      .d1_onchip_memory_0_s2_end_xfer                                      (d1_onchip_memory_0_s2_end_xfer),
4540
      .d1_sram_0_avalon_sram_slave_end_xfer                                (d1_sram_0_avalon_sram_slave_end_xfer),
4541
      .onchip_memory_0_s2_readdata_from_sa                                 (onchip_memory_0_s2_readdata_from_sa),
4542
      .reset_n                                                             (clk_0_reset_n),
4543
      .sram_0_avalon_sram_slave_readdata_from_sa                           (sram_0_avalon_sram_slave_readdata_from_sa)
4544
    );
4545
 
4546
  cpu_0 the_cpu_0
4547
    (
4548
      .clk                                   (clk_0),
4549
      .d_address                             (cpu_0_data_master_address),
4550
      .d_byteenable                          (cpu_0_data_master_byteenable),
4551
      .d_irq                                 (cpu_0_data_master_irq),
4552
      .d_read                                (cpu_0_data_master_read),
4553
      .d_readdata                            (cpu_0_data_master_readdata),
4554
      .d_readdatavalid                       (cpu_0_data_master_readdatavalid),
4555
      .d_waitrequest                         (cpu_0_data_master_waitrequest),
4556
      .d_write                               (cpu_0_data_master_write),
4557
      .d_writedata                           (cpu_0_data_master_writedata),
4558
      .i_address                             (cpu_0_instruction_master_address),
4559
      .i_read                                (cpu_0_instruction_master_read),
4560
      .i_readdata                            (cpu_0_instruction_master_readdata),
4561
      .i_readdatavalid                       (cpu_0_instruction_master_readdatavalid),
4562
      .i_waitrequest                         (cpu_0_instruction_master_waitrequest),
4563
      .jtag_debug_module_address             (cpu_0_jtag_debug_module_address),
4564
      .jtag_debug_module_begintransfer       (cpu_0_jtag_debug_module_begintransfer),
4565
      .jtag_debug_module_byteenable          (cpu_0_jtag_debug_module_byteenable),
4566
      .jtag_debug_module_debugaccess         (cpu_0_jtag_debug_module_debugaccess),
4567
      .jtag_debug_module_debugaccess_to_roms (cpu_0_data_master_debugaccess),
4568
      .jtag_debug_module_readdata            (cpu_0_jtag_debug_module_readdata),
4569
      .jtag_debug_module_resetrequest        (cpu_0_jtag_debug_module_resetrequest),
4570
      .jtag_debug_module_select              (cpu_0_jtag_debug_module_chipselect),
4571
      .jtag_debug_module_write               (cpu_0_jtag_debug_module_write),
4572
      .jtag_debug_module_writedata           (cpu_0_jtag_debug_module_writedata),
4573
      .reset_n                               (cpu_0_jtag_debug_module_reset_n)
4574
    );
4575
 
4576
  hibi_pe_dma_0_avalon_slave_0_arbitrator the_hibi_pe_dma_0_avalon_slave_0
4577
    (
4578
      .clk                                                              (clk_0),
4579
      .cpu_0_data_master_address_to_slave                               (cpu_0_data_master_address_to_slave),
4580
      .cpu_0_data_master_granted_hibi_pe_dma_0_avalon_slave_0           (cpu_0_data_master_granted_hibi_pe_dma_0_avalon_slave_0),
4581
      .cpu_0_data_master_latency_counter                                (cpu_0_data_master_latency_counter),
4582
      .cpu_0_data_master_qualified_request_hibi_pe_dma_0_avalon_slave_0 (cpu_0_data_master_qualified_request_hibi_pe_dma_0_avalon_slave_0),
4583
      .cpu_0_data_master_read                                           (cpu_0_data_master_read),
4584
      .cpu_0_data_master_read_data_valid_hibi_pe_dma_0_avalon_slave_0   (cpu_0_data_master_read_data_valid_hibi_pe_dma_0_avalon_slave_0),
4585
      .cpu_0_data_master_requests_hibi_pe_dma_0_avalon_slave_0          (cpu_0_data_master_requests_hibi_pe_dma_0_avalon_slave_0),
4586
      .cpu_0_data_master_write                                          (cpu_0_data_master_write),
4587
      .cpu_0_data_master_writedata                                      (cpu_0_data_master_writedata),
4588
      .d1_hibi_pe_dma_0_avalon_slave_0_end_xfer                         (d1_hibi_pe_dma_0_avalon_slave_0_end_xfer),
4589
      .hibi_pe_dma_0_avalon_slave_0_address                             (hibi_pe_dma_0_avalon_slave_0_address),
4590
      .hibi_pe_dma_0_avalon_slave_0_chipselect                          (hibi_pe_dma_0_avalon_slave_0_chipselect),
4591
      .hibi_pe_dma_0_avalon_slave_0_irq                                 (hibi_pe_dma_0_avalon_slave_0_irq),
4592
      .hibi_pe_dma_0_avalon_slave_0_irq_from_sa                         (hibi_pe_dma_0_avalon_slave_0_irq_from_sa),
4593
      .hibi_pe_dma_0_avalon_slave_0_read                                (hibi_pe_dma_0_avalon_slave_0_read),
4594
      .hibi_pe_dma_0_avalon_slave_0_readdata                            (hibi_pe_dma_0_avalon_slave_0_readdata),
4595
      .hibi_pe_dma_0_avalon_slave_0_readdata_from_sa                    (hibi_pe_dma_0_avalon_slave_0_readdata_from_sa),
4596
      .hibi_pe_dma_0_avalon_slave_0_reset_n                             (hibi_pe_dma_0_avalon_slave_0_reset_n),
4597
      .hibi_pe_dma_0_avalon_slave_0_waitrequest                         (hibi_pe_dma_0_avalon_slave_0_waitrequest),
4598
      .hibi_pe_dma_0_avalon_slave_0_waitrequest_from_sa                 (hibi_pe_dma_0_avalon_slave_0_waitrequest_from_sa),
4599
      .hibi_pe_dma_0_avalon_slave_0_write                               (hibi_pe_dma_0_avalon_slave_0_write),
4600
      .hibi_pe_dma_0_avalon_slave_0_writedata                           (hibi_pe_dma_0_avalon_slave_0_writedata),
4601
      .reset_n                                                          (clk_0_reset_n)
4602
    );
4603
 
4604
  hibi_pe_dma_0_avalon_master_arbitrator the_hibi_pe_dma_0_avalon_master
4605
    (
4606
      .clk                                                              (clk_0),
4607
      .d1_onchip_memory_0_s1_end_xfer                                   (d1_onchip_memory_0_s1_end_xfer),
4608
      .hibi_pe_dma_0_avalon_master_address                              (hibi_pe_dma_0_avalon_master_address),
4609
      .hibi_pe_dma_0_avalon_master_address_to_slave                     (hibi_pe_dma_0_avalon_master_address_to_slave),
4610
      .hibi_pe_dma_0_avalon_master_byteenable                           (hibi_pe_dma_0_avalon_master_byteenable),
4611
      .hibi_pe_dma_0_avalon_master_granted_onchip_memory_0_s1           (hibi_pe_dma_0_avalon_master_granted_onchip_memory_0_s1),
4612
      .hibi_pe_dma_0_avalon_master_qualified_request_onchip_memory_0_s1 (hibi_pe_dma_0_avalon_master_qualified_request_onchip_memory_0_s1),
4613
      .hibi_pe_dma_0_avalon_master_requests_onchip_memory_0_s1          (hibi_pe_dma_0_avalon_master_requests_onchip_memory_0_s1),
4614
      .hibi_pe_dma_0_avalon_master_waitrequest                          (hibi_pe_dma_0_avalon_master_waitrequest),
4615
      .hibi_pe_dma_0_avalon_master_write                                (hibi_pe_dma_0_avalon_master_write),
4616
      .hibi_pe_dma_0_avalon_master_writedata                            (hibi_pe_dma_0_avalon_master_writedata),
4617
      .reset_n                                                          (clk_0_reset_n)
4618
    );
4619
 
4620
  hibi_pe_dma_0_avalon_master_1_arbitrator the_hibi_pe_dma_0_avalon_master_1
4621
    (
4622
      .clk                                                                (clk_0),
4623
      .d1_onchip_memory_0_s1_end_xfer                                     (d1_onchip_memory_0_s1_end_xfer),
4624
      .hibi_pe_dma_0_avalon_master_1_address                              (hibi_pe_dma_0_avalon_master_1_address),
4625
      .hibi_pe_dma_0_avalon_master_1_address_to_slave                     (hibi_pe_dma_0_avalon_master_1_address_to_slave),
4626
      .hibi_pe_dma_0_avalon_master_1_granted_onchip_memory_0_s1           (hibi_pe_dma_0_avalon_master_1_granted_onchip_memory_0_s1),
4627
      .hibi_pe_dma_0_avalon_master_1_latency_counter                      (hibi_pe_dma_0_avalon_master_1_latency_counter),
4628
      .hibi_pe_dma_0_avalon_master_1_qualified_request_onchip_memory_0_s1 (hibi_pe_dma_0_avalon_master_1_qualified_request_onchip_memory_0_s1),
4629
      .hibi_pe_dma_0_avalon_master_1_read                                 (hibi_pe_dma_0_avalon_master_1_read),
4630
      .hibi_pe_dma_0_avalon_master_1_read_data_valid_onchip_memory_0_s1   (hibi_pe_dma_0_avalon_master_1_read_data_valid_onchip_memory_0_s1),
4631
      .hibi_pe_dma_0_avalon_master_1_readdata                             (hibi_pe_dma_0_avalon_master_1_readdata),
4632
      .hibi_pe_dma_0_avalon_master_1_readdatavalid                        (hibi_pe_dma_0_avalon_master_1_readdatavalid),
4633
      .hibi_pe_dma_0_avalon_master_1_requests_onchip_memory_0_s1          (hibi_pe_dma_0_avalon_master_1_requests_onchip_memory_0_s1),
4634
      .hibi_pe_dma_0_avalon_master_1_waitrequest                          (hibi_pe_dma_0_avalon_master_1_waitrequest),
4635
      .onchip_memory_0_s1_readdata_from_sa                                (onchip_memory_0_s1_readdata_from_sa),
4636
      .reset_n                                                            (clk_0_reset_n)
4637
    );
4638
 
4639
  hibi_pe_dma_0 the_hibi_pe_dma_0
4640
    (
4641
      .avalon_addr_out_rx         (hibi_pe_dma_0_avalon_master_address),
4642
      .avalon_addr_out_tx         (hibi_pe_dma_0_avalon_master_1_address),
4643
      .avalon_be_out_rx           (hibi_pe_dma_0_avalon_master_byteenable),
4644
      .avalon_cfg_addr_in         (hibi_pe_dma_0_avalon_slave_0_address),
4645
      .avalon_cfg_cs_in           (hibi_pe_dma_0_avalon_slave_0_chipselect),
4646
      .avalon_cfg_re_in           (hibi_pe_dma_0_avalon_slave_0_read),
4647
      .avalon_cfg_readdata_out    (hibi_pe_dma_0_avalon_slave_0_readdata),
4648
      .avalon_cfg_waitrequest_out (hibi_pe_dma_0_avalon_slave_0_waitrequest),
4649
      .avalon_cfg_we_in           (hibi_pe_dma_0_avalon_slave_0_write),
4650
      .avalon_cfg_writedata_in    (hibi_pe_dma_0_avalon_slave_0_writedata),
4651
      .avalon_re_out_tx           (hibi_pe_dma_0_avalon_master_1_read),
4652
      .avalon_readdata_in_tx      (hibi_pe_dma_0_avalon_master_1_readdata),
4653
      .avalon_readdatavalid_in_tx (hibi_pe_dma_0_avalon_master_1_readdatavalid),
4654
      .avalon_waitrequest_in_rx   (hibi_pe_dma_0_avalon_master_waitrequest),
4655
      .avalon_waitrequest_in_tx   (hibi_pe_dma_0_avalon_master_1_waitrequest),
4656
      .avalon_we_out_rx           (hibi_pe_dma_0_avalon_master_write),
4657
      .avalon_writedata_out_rx    (hibi_pe_dma_0_avalon_master_writedata),
4658
      .clk                        (clk_0),
4659
      .hibi_av_in                 (hibi_av_in_to_the_hibi_pe_dma_0),
4660
      .hibi_av_out                (hibi_av_out_from_the_hibi_pe_dma_0),
4661
      .hibi_comm_in               (hibi_comm_in_to_the_hibi_pe_dma_0),
4662
      .hibi_comm_out              (hibi_comm_out_from_the_hibi_pe_dma_0),
4663
      .hibi_data_in               (hibi_data_in_to_the_hibi_pe_dma_0),
4664
      .hibi_data_out              (hibi_data_out_from_the_hibi_pe_dma_0),
4665
      .hibi_empty_in              (hibi_empty_in_to_the_hibi_pe_dma_0),
4666
      .hibi_full_in               (hibi_full_in_to_the_hibi_pe_dma_0),
4667
      .hibi_re_out                (hibi_re_out_from_the_hibi_pe_dma_0),
4668
      .hibi_we_out                (hibi_we_out_from_the_hibi_pe_dma_0),
4669
      .rst_n                      (hibi_pe_dma_0_avalon_slave_0_reset_n),
4670
      .rx_irq_out                 (hibi_pe_dma_0_avalon_slave_0_irq)
4671
    );
4672
 
4673
  jtag_uart_0_avalon_jtag_slave_arbitrator the_jtag_uart_0_avalon_jtag_slave
4674
    (
4675
      .clk                                                               (clk_0),
4676
      .cpu_0_data_master_address_to_slave                                (cpu_0_data_master_address_to_slave),
4677
      .cpu_0_data_master_granted_jtag_uart_0_avalon_jtag_slave           (cpu_0_data_master_granted_jtag_uart_0_avalon_jtag_slave),
4678
      .cpu_0_data_master_latency_counter                                 (cpu_0_data_master_latency_counter),
4679
      .cpu_0_data_master_qualified_request_jtag_uart_0_avalon_jtag_slave (cpu_0_data_master_qualified_request_jtag_uart_0_avalon_jtag_slave),
4680
      .cpu_0_data_master_read                                            (cpu_0_data_master_read),
4681
      .cpu_0_data_master_read_data_valid_jtag_uart_0_avalon_jtag_slave   (cpu_0_data_master_read_data_valid_jtag_uart_0_avalon_jtag_slave),
4682
      .cpu_0_data_master_requests_jtag_uart_0_avalon_jtag_slave          (cpu_0_data_master_requests_jtag_uart_0_avalon_jtag_slave),
4683
      .cpu_0_data_master_write                                           (cpu_0_data_master_write),
4684
      .cpu_0_data_master_writedata                                       (cpu_0_data_master_writedata),
4685
      .d1_jtag_uart_0_avalon_jtag_slave_end_xfer                         (d1_jtag_uart_0_avalon_jtag_slave_end_xfer),
4686
      .jtag_uart_0_avalon_jtag_slave_address                             (jtag_uart_0_avalon_jtag_slave_address),
4687
      .jtag_uart_0_avalon_jtag_slave_chipselect                          (jtag_uart_0_avalon_jtag_slave_chipselect),
4688
      .jtag_uart_0_avalon_jtag_slave_dataavailable                       (jtag_uart_0_avalon_jtag_slave_dataavailable),
4689
      .jtag_uart_0_avalon_jtag_slave_dataavailable_from_sa               (jtag_uart_0_avalon_jtag_slave_dataavailable_from_sa),
4690
      .jtag_uart_0_avalon_jtag_slave_irq                                 (jtag_uart_0_avalon_jtag_slave_irq),
4691
      .jtag_uart_0_avalon_jtag_slave_irq_from_sa                         (jtag_uart_0_avalon_jtag_slave_irq_from_sa),
4692
      .jtag_uart_0_avalon_jtag_slave_read_n                              (jtag_uart_0_avalon_jtag_slave_read_n),
4693
      .jtag_uart_0_avalon_jtag_slave_readdata                            (jtag_uart_0_avalon_jtag_slave_readdata),
4694
      .jtag_uart_0_avalon_jtag_slave_readdata_from_sa                    (jtag_uart_0_avalon_jtag_slave_readdata_from_sa),
4695
      .jtag_uart_0_avalon_jtag_slave_readyfordata                        (jtag_uart_0_avalon_jtag_slave_readyfordata),
4696
      .jtag_uart_0_avalon_jtag_slave_readyfordata_from_sa                (jtag_uart_0_avalon_jtag_slave_readyfordata_from_sa),
4697
      .jtag_uart_0_avalon_jtag_slave_reset_n                             (jtag_uart_0_avalon_jtag_slave_reset_n),
4698
      .jtag_uart_0_avalon_jtag_slave_waitrequest                         (jtag_uart_0_avalon_jtag_slave_waitrequest),
4699
      .jtag_uart_0_avalon_jtag_slave_waitrequest_from_sa                 (jtag_uart_0_avalon_jtag_slave_waitrequest_from_sa),
4700
      .jtag_uart_0_avalon_jtag_slave_write_n                             (jtag_uart_0_avalon_jtag_slave_write_n),
4701
      .jtag_uart_0_avalon_jtag_slave_writedata                           (jtag_uart_0_avalon_jtag_slave_writedata),
4702
      .reset_n                                                           (clk_0_reset_n)
4703
    );
4704
 
4705
  jtag_uart_0 the_jtag_uart_0
4706
    (
4707
      .av_address     (jtag_uart_0_avalon_jtag_slave_address),
4708
      .av_chipselect  (jtag_uart_0_avalon_jtag_slave_chipselect),
4709
      .av_irq         (jtag_uart_0_avalon_jtag_slave_irq),
4710
      .av_read_n      (jtag_uart_0_avalon_jtag_slave_read_n),
4711
      .av_readdata    (jtag_uart_0_avalon_jtag_slave_readdata),
4712
      .av_waitrequest (jtag_uart_0_avalon_jtag_slave_waitrequest),
4713
      .av_write_n     (jtag_uart_0_avalon_jtag_slave_write_n),
4714
      .av_writedata   (jtag_uart_0_avalon_jtag_slave_writedata),
4715
      .clk            (clk_0),
4716
      .dataavailable  (jtag_uart_0_avalon_jtag_slave_dataavailable),
4717
      .readyfordata   (jtag_uart_0_avalon_jtag_slave_readyfordata),
4718
      .rst_n          (jtag_uart_0_avalon_jtag_slave_reset_n)
4719
    );
4720
 
4721
  onchip_memory_0_s1_arbitrator the_onchip_memory_0_s1
4722
    (
4723
      .clk                                                                (clk_0),
4724
      .d1_onchip_memory_0_s1_end_xfer                                     (d1_onchip_memory_0_s1_end_xfer),
4725
      .hibi_pe_dma_0_avalon_master_1_address_to_slave                     (hibi_pe_dma_0_avalon_master_1_address_to_slave),
4726
      .hibi_pe_dma_0_avalon_master_1_granted_onchip_memory_0_s1           (hibi_pe_dma_0_avalon_master_1_granted_onchip_memory_0_s1),
4727
      .hibi_pe_dma_0_avalon_master_1_latency_counter                      (hibi_pe_dma_0_avalon_master_1_latency_counter),
4728
      .hibi_pe_dma_0_avalon_master_1_qualified_request_onchip_memory_0_s1 (hibi_pe_dma_0_avalon_master_1_qualified_request_onchip_memory_0_s1),
4729
      .hibi_pe_dma_0_avalon_master_1_read                                 (hibi_pe_dma_0_avalon_master_1_read),
4730
      .hibi_pe_dma_0_avalon_master_1_read_data_valid_onchip_memory_0_s1   (hibi_pe_dma_0_avalon_master_1_read_data_valid_onchip_memory_0_s1),
4731
      .hibi_pe_dma_0_avalon_master_1_requests_onchip_memory_0_s1          (hibi_pe_dma_0_avalon_master_1_requests_onchip_memory_0_s1),
4732
      .hibi_pe_dma_0_avalon_master_address_to_slave                       (hibi_pe_dma_0_avalon_master_address_to_slave),
4733
      .hibi_pe_dma_0_avalon_master_byteenable                             (hibi_pe_dma_0_avalon_master_byteenable),
4734
      .hibi_pe_dma_0_avalon_master_granted_onchip_memory_0_s1             (hibi_pe_dma_0_avalon_master_granted_onchip_memory_0_s1),
4735
      .hibi_pe_dma_0_avalon_master_qualified_request_onchip_memory_0_s1   (hibi_pe_dma_0_avalon_master_qualified_request_onchip_memory_0_s1),
4736
      .hibi_pe_dma_0_avalon_master_requests_onchip_memory_0_s1            (hibi_pe_dma_0_avalon_master_requests_onchip_memory_0_s1),
4737
      .hibi_pe_dma_0_avalon_master_write                                  (hibi_pe_dma_0_avalon_master_write),
4738
      .hibi_pe_dma_0_avalon_master_writedata                              (hibi_pe_dma_0_avalon_master_writedata),
4739
      .onchip_memory_0_s1_address                                         (onchip_memory_0_s1_address),
4740
      .onchip_memory_0_s1_byteenable                                      (onchip_memory_0_s1_byteenable),
4741
      .onchip_memory_0_s1_chipselect                                      (onchip_memory_0_s1_chipselect),
4742
      .onchip_memory_0_s1_clken                                           (onchip_memory_0_s1_clken),
4743
      .onchip_memory_0_s1_readdata                                        (onchip_memory_0_s1_readdata),
4744
      .onchip_memory_0_s1_readdata_from_sa                                (onchip_memory_0_s1_readdata_from_sa),
4745
      .onchip_memory_0_s1_reset                                           (onchip_memory_0_s1_reset),
4746
      .onchip_memory_0_s1_write                                           (onchip_memory_0_s1_write),
4747
      .onchip_memory_0_s1_writedata                                       (onchip_memory_0_s1_writedata),
4748
      .reset_n                                                            (clk_0_reset_n)
4749
    );
4750
 
4751
  onchip_memory_0_s2_arbitrator the_onchip_memory_0_s2
4752
    (
4753
      .clk                                                           (clk_0),
4754
      .cpu_0_data_master_address_to_slave                            (cpu_0_data_master_address_to_slave),
4755
      .cpu_0_data_master_byteenable                                  (cpu_0_data_master_byteenable),
4756
      .cpu_0_data_master_granted_onchip_memory_0_s2                  (cpu_0_data_master_granted_onchip_memory_0_s2),
4757
      .cpu_0_data_master_latency_counter                             (cpu_0_data_master_latency_counter),
4758
      .cpu_0_data_master_qualified_request_onchip_memory_0_s2        (cpu_0_data_master_qualified_request_onchip_memory_0_s2),
4759
      .cpu_0_data_master_read                                        (cpu_0_data_master_read),
4760
      .cpu_0_data_master_read_data_valid_onchip_memory_0_s2          (cpu_0_data_master_read_data_valid_onchip_memory_0_s2),
4761
      .cpu_0_data_master_requests_onchip_memory_0_s2                 (cpu_0_data_master_requests_onchip_memory_0_s2),
4762
      .cpu_0_data_master_write                                       (cpu_0_data_master_write),
4763
      .cpu_0_data_master_writedata                                   (cpu_0_data_master_writedata),
4764
      .cpu_0_instruction_master_address_to_slave                     (cpu_0_instruction_master_address_to_slave),
4765
      .cpu_0_instruction_master_granted_onchip_memory_0_s2           (cpu_0_instruction_master_granted_onchip_memory_0_s2),
4766
      .cpu_0_instruction_master_latency_counter                      (cpu_0_instruction_master_latency_counter),
4767
      .cpu_0_instruction_master_qualified_request_onchip_memory_0_s2 (cpu_0_instruction_master_qualified_request_onchip_memory_0_s2),
4768
      .cpu_0_instruction_master_read                                 (cpu_0_instruction_master_read),
4769
      .cpu_0_instruction_master_read_data_valid_onchip_memory_0_s2   (cpu_0_instruction_master_read_data_valid_onchip_memory_0_s2),
4770
      .cpu_0_instruction_master_requests_onchip_memory_0_s2          (cpu_0_instruction_master_requests_onchip_memory_0_s2),
4771
      .d1_onchip_memory_0_s2_end_xfer                                (d1_onchip_memory_0_s2_end_xfer),
4772
      .onchip_memory_0_s2_address                                    (onchip_memory_0_s2_address),
4773
      .onchip_memory_0_s2_byteenable                                 (onchip_memory_0_s2_byteenable),
4774
      .onchip_memory_0_s2_chipselect                                 (onchip_memory_0_s2_chipselect),
4775
      .onchip_memory_0_s2_clken                                      (onchip_memory_0_s2_clken),
4776
      .onchip_memory_0_s2_readdata                                   (onchip_memory_0_s2_readdata),
4777
      .onchip_memory_0_s2_readdata_from_sa                           (onchip_memory_0_s2_readdata_from_sa),
4778
      .onchip_memory_0_s2_reset                                      (onchip_memory_0_s2_reset),
4779
      .onchip_memory_0_s2_write                                      (onchip_memory_0_s2_write),
4780
      .onchip_memory_0_s2_writedata                                  (onchip_memory_0_s2_writedata),
4781
      .reset_n                                                       (clk_0_reset_n)
4782
    );
4783
 
4784
  onchip_memory_0 the_onchip_memory_0
4785
    (
4786
      .address     (onchip_memory_0_s1_address),
4787
      .address2    (onchip_memory_0_s2_address),
4788
      .byteenable  (onchip_memory_0_s1_byteenable),
4789
      .byteenable2 (onchip_memory_0_s2_byteenable),
4790
      .chipselect  (onchip_memory_0_s1_chipselect),
4791
      .chipselect2 (onchip_memory_0_s2_chipselect),
4792
      .clk         (clk_0),
4793
      .clk2        (clk_0),
4794
      .clken       (onchip_memory_0_s1_clken),
4795
      .clken2      (onchip_memory_0_s2_clken),
4796
      .readdata    (onchip_memory_0_s1_readdata),
4797
      .readdata2   (onchip_memory_0_s2_readdata),
4798
      .reset       (onchip_memory_0_s1_reset),
4799
      .reset2      (onchip_memory_0_s2_reset),
4800
      .write       (onchip_memory_0_s1_write),
4801
      .write2      (onchip_memory_0_s2_write),
4802
      .writedata   (onchip_memory_0_s1_writedata),
4803
      .writedata2  (onchip_memory_0_s2_writedata)
4804
    );
4805
 
4806
  sram_0_avalon_sram_slave_arbitrator the_sram_0_avalon_sram_slave
4807
    (
4808
      .clk                                                                 (clk_0),
4809
      .cpu_0_data_master_address_to_slave                                  (cpu_0_data_master_address_to_slave),
4810
      .cpu_0_data_master_byteenable                                        (cpu_0_data_master_byteenable),
4811
      .cpu_0_data_master_byteenable_sram_0_avalon_sram_slave               (cpu_0_data_master_byteenable_sram_0_avalon_sram_slave),
4812
      .cpu_0_data_master_dbs_address                                       (cpu_0_data_master_dbs_address),
4813
      .cpu_0_data_master_dbs_write_16                                      (cpu_0_data_master_dbs_write_16),
4814
      .cpu_0_data_master_granted_sram_0_avalon_sram_slave                  (cpu_0_data_master_granted_sram_0_avalon_sram_slave),
4815
      .cpu_0_data_master_latency_counter                                   (cpu_0_data_master_latency_counter),
4816
      .cpu_0_data_master_qualified_request_sram_0_avalon_sram_slave        (cpu_0_data_master_qualified_request_sram_0_avalon_sram_slave),
4817
      .cpu_0_data_master_read                                              (cpu_0_data_master_read),
4818
      .cpu_0_data_master_read_data_valid_sram_0_avalon_sram_slave          (cpu_0_data_master_read_data_valid_sram_0_avalon_sram_slave),
4819
      .cpu_0_data_master_requests_sram_0_avalon_sram_slave                 (cpu_0_data_master_requests_sram_0_avalon_sram_slave),
4820
      .cpu_0_data_master_write                                             (cpu_0_data_master_write),
4821
      .cpu_0_instruction_master_address_to_slave                           (cpu_0_instruction_master_address_to_slave),
4822
      .cpu_0_instruction_master_dbs_address                                (cpu_0_instruction_master_dbs_address),
4823
      .cpu_0_instruction_master_granted_sram_0_avalon_sram_slave           (cpu_0_instruction_master_granted_sram_0_avalon_sram_slave),
4824
      .cpu_0_instruction_master_latency_counter                            (cpu_0_instruction_master_latency_counter),
4825
      .cpu_0_instruction_master_qualified_request_sram_0_avalon_sram_slave (cpu_0_instruction_master_qualified_request_sram_0_avalon_sram_slave),
4826
      .cpu_0_instruction_master_read                                       (cpu_0_instruction_master_read),
4827
      .cpu_0_instruction_master_read_data_valid_sram_0_avalon_sram_slave   (cpu_0_instruction_master_read_data_valid_sram_0_avalon_sram_slave),
4828
      .cpu_0_instruction_master_requests_sram_0_avalon_sram_slave          (cpu_0_instruction_master_requests_sram_0_avalon_sram_slave),
4829
      .d1_sram_0_avalon_sram_slave_end_xfer                                (d1_sram_0_avalon_sram_slave_end_xfer),
4830
      .reset_n                                                             (clk_0_reset_n),
4831
      .sram_0_avalon_sram_slave_address                                    (sram_0_avalon_sram_slave_address),
4832
      .sram_0_avalon_sram_slave_byteenable                                 (sram_0_avalon_sram_slave_byteenable),
4833
      .sram_0_avalon_sram_slave_read                                       (sram_0_avalon_sram_slave_read),
4834
      .sram_0_avalon_sram_slave_readdata                                   (sram_0_avalon_sram_slave_readdata),
4835
      .sram_0_avalon_sram_slave_readdata_from_sa                           (sram_0_avalon_sram_slave_readdata_from_sa),
4836
      .sram_0_avalon_sram_slave_reset                                      (sram_0_avalon_sram_slave_reset),
4837
      .sram_0_avalon_sram_slave_write                                      (sram_0_avalon_sram_slave_write),
4838
      .sram_0_avalon_sram_slave_writedata                                  (sram_0_avalon_sram_slave_writedata)
4839
    );
4840
 
4841
  sram_0 the_sram_0
4842
    (
4843
      .SRAM_ADDR  (SRAM_ADDR_from_the_sram_0),
4844
      .SRAM_CE_N  (SRAM_CE_N_from_the_sram_0),
4845
      .SRAM_DQ    (SRAM_DQ_to_and_from_the_sram_0),
4846
      .SRAM_LB_N  (SRAM_LB_N_from_the_sram_0),
4847
      .SRAM_OE_N  (SRAM_OE_N_from_the_sram_0),
4848
      .SRAM_UB_N  (SRAM_UB_N_from_the_sram_0),
4849
      .SRAM_WE_N  (SRAM_WE_N_from_the_sram_0),
4850
      .address    (sram_0_avalon_sram_slave_address),
4851
      .byteenable (sram_0_avalon_sram_slave_byteenable),
4852
      .clk        (clk_0),
4853
      .read       (sram_0_avalon_sram_slave_read),
4854
      .readdata   (sram_0_avalon_sram_slave_readdata),
4855
      .reset      (sram_0_avalon_sram_slave_reset),
4856
      .write      (sram_0_avalon_sram_slave_write),
4857
      .writedata  (sram_0_avalon_sram_slave_writedata)
4858
    );
4859
 
4860
  sysid_control_slave_arbitrator the_sysid_control_slave
4861
    (
4862
      .clk                                                     (clk_0),
4863
      .cpu_0_data_master_address_to_slave                      (cpu_0_data_master_address_to_slave),
4864
      .cpu_0_data_master_granted_sysid_control_slave           (cpu_0_data_master_granted_sysid_control_slave),
4865
      .cpu_0_data_master_latency_counter                       (cpu_0_data_master_latency_counter),
4866
      .cpu_0_data_master_qualified_request_sysid_control_slave (cpu_0_data_master_qualified_request_sysid_control_slave),
4867
      .cpu_0_data_master_read                                  (cpu_0_data_master_read),
4868
      .cpu_0_data_master_read_data_valid_sysid_control_slave   (cpu_0_data_master_read_data_valid_sysid_control_slave),
4869
      .cpu_0_data_master_requests_sysid_control_slave          (cpu_0_data_master_requests_sysid_control_slave),
4870
      .cpu_0_data_master_write                                 (cpu_0_data_master_write),
4871
      .d1_sysid_control_slave_end_xfer                         (d1_sysid_control_slave_end_xfer),
4872
      .reset_n                                                 (clk_0_reset_n),
4873
      .sysid_control_slave_address                             (sysid_control_slave_address),
4874
      .sysid_control_slave_readdata                            (sysid_control_slave_readdata),
4875
      .sysid_control_slave_readdata_from_sa                    (sysid_control_slave_readdata_from_sa),
4876
      .sysid_control_slave_reset_n                             (sysid_control_slave_reset_n)
4877
    );
4878
 
4879
  sysid the_sysid
4880
    (
4881
      .address  (sysid_control_slave_address),
4882
      .clock    (sysid_control_slave_clock),
4883
      .readdata (sysid_control_slave_readdata),
4884
      .reset_n  (sysid_control_slave_reset_n)
4885
    );
4886
 
4887
  timer_0_s1_arbitrator the_timer_0_s1
4888
    (
4889
      .clk                                            (clk_0),
4890
      .cpu_0_data_master_address_to_slave             (cpu_0_data_master_address_to_slave),
4891
      .cpu_0_data_master_granted_timer_0_s1           (cpu_0_data_master_granted_timer_0_s1),
4892
      .cpu_0_data_master_latency_counter              (cpu_0_data_master_latency_counter),
4893
      .cpu_0_data_master_qualified_request_timer_0_s1 (cpu_0_data_master_qualified_request_timer_0_s1),
4894
      .cpu_0_data_master_read                         (cpu_0_data_master_read),
4895
      .cpu_0_data_master_read_data_valid_timer_0_s1   (cpu_0_data_master_read_data_valid_timer_0_s1),
4896
      .cpu_0_data_master_requests_timer_0_s1          (cpu_0_data_master_requests_timer_0_s1),
4897
      .cpu_0_data_master_write                        (cpu_0_data_master_write),
4898
      .cpu_0_data_master_writedata                    (cpu_0_data_master_writedata),
4899
      .d1_timer_0_s1_end_xfer                         (d1_timer_0_s1_end_xfer),
4900
      .reset_n                                        (clk_0_reset_n),
4901
      .timer_0_s1_address                             (timer_0_s1_address),
4902
      .timer_0_s1_chipselect                          (timer_0_s1_chipselect),
4903
      .timer_0_s1_irq                                 (timer_0_s1_irq),
4904
      .timer_0_s1_irq_from_sa                         (timer_0_s1_irq_from_sa),
4905
      .timer_0_s1_readdata                            (timer_0_s1_readdata),
4906
      .timer_0_s1_readdata_from_sa                    (timer_0_s1_readdata_from_sa),
4907
      .timer_0_s1_reset_n                             (timer_0_s1_reset_n),
4908
      .timer_0_s1_write_n                             (timer_0_s1_write_n),
4909
      .timer_0_s1_writedata                           (timer_0_s1_writedata)
4910
    );
4911
 
4912
  timer_0 the_timer_0
4913
    (
4914
      .address    (timer_0_s1_address),
4915
      .chipselect (timer_0_s1_chipselect),
4916
      .clk        (clk_0),
4917
      .irq        (timer_0_s1_irq),
4918
      .readdata   (timer_0_s1_readdata),
4919
      .reset_n    (timer_0_s1_reset_n),
4920
      .write_n    (timer_0_s1_write_n),
4921
      .writedata  (timer_0_s1_writedata)
4922
    );
4923
 
4924
  //reset is asserted asynchronously and deasserted synchronously
4925
  nios_ii_sram_reset_clk_0_domain_synch_module nios_ii_sram_reset_clk_0_domain_synch
4926
    (
4927
      .clk      (clk_0),
4928
      .data_in  (1'b1),
4929
      .data_out (clk_0_reset_n),
4930
      .reset_n  (reset_n_sources)
4931
    );
4932
 
4933
  //reset sources mux, which is an e_mux
4934
  assign reset_n_sources = ~(~reset_n |
4935
 
4936
    cpu_0_jtag_debug_module_resetrequest_from_sa |
4937
    cpu_0_jtag_debug_module_resetrequest_from_sa);
4938
 
4939
  //sysid_control_slave_clock of type clock does not connect to anything so wire it to default (0)
4940
  assign sysid_control_slave_clock = 0;
4941
 
4942
 
4943
endmodule
4944
 
4945
 
4946
//synthesis translate_off
4947
 
4948
 
4949
 
4950
// <ALTERA_NOTE> CODE INSERTED BETWEEN HERE
4951
 
4952
// AND HERE WILL BE PRESERVED </ALTERA_NOTE>
4953
 
4954
 
4955
// If user logic components use Altsync_Ram with convert_hex2ver.dll,
4956
// set USE_convert_hex2ver in the user comments section above
4957
 
4958
// `ifdef USE_convert_hex2ver
4959
// `else
4960
// `define NO_PLI 1
4961
// `endif
4962
 
4963
`include "c:/altera/11.0/quartus/eda/sim_lib/altera_mf.v"
4964
`include "c:/altera/11.0/quartus/eda/sim_lib/220model.v"
4965
`include "c:/altera/11.0/quartus/eda/sim_lib/sgate.v"
4966
`include "sram_0.v"
4967
// ip/hpd_tx_control.vhd
4968
// ip/hpd_rx_packet.vhd
4969
// ip/hpd_rx_stream.vhd
4970
// ip/hpd_rx_and_conf.vhd
4971
// ip/hibi_pe_dma.vhd
4972
// hibi_pe_dma_0.vhd
4973
`include "onchip_memory_0.v"
4974
`include "timer_0.v"
4975
`include "sysid.v"
4976
`include "jtag_uart_0.v"
4977
`include "cpu_0_test_bench.v"
4978
`include "cpu_0_mult_cell.v"
4979
`include "cpu_0_oci_test_bench.v"
4980
`include "cpu_0_jtag_debug_module_tck.v"
4981
`include "cpu_0_jtag_debug_module_sysclk.v"
4982
`include "cpu_0_jtag_debug_module_wrapper.v"
4983
`include "cpu_0.v"
4984
 
4985
`timescale 1ns / 1ps
4986
 
4987
module test_bench
4988
;
4989
 
4990
 
4991
  wire    [ 17: 0] SRAM_ADDR_from_the_sram_0;
4992
  wire             SRAM_CE_N_from_the_sram_0;
4993
  wire    [ 15: 0] SRAM_DQ_to_and_from_the_sram_0;
4994
  wire             SRAM_LB_N_from_the_sram_0;
4995
  wire             SRAM_OE_N_from_the_sram_0;
4996
  wire             SRAM_UB_N_from_the_sram_0;
4997
  wire             SRAM_WE_N_from_the_sram_0;
4998
  wire             clk;
4999
  reg              clk_0;
5000
  wire             hibi_av_in_to_the_hibi_pe_dma_0;
5001
  wire             hibi_av_out_from_the_hibi_pe_dma_0;
5002
  wire    [  4: 0] hibi_comm_in_to_the_hibi_pe_dma_0;
5003
  wire    [  4: 0] hibi_comm_out_from_the_hibi_pe_dma_0;
5004
  wire    [ 31: 0] hibi_data_in_to_the_hibi_pe_dma_0;
5005
  wire    [ 31: 0] hibi_data_out_from_the_hibi_pe_dma_0;
5006
  wire             hibi_empty_in_to_the_hibi_pe_dma_0;
5007
  wire             hibi_full_in_to_the_hibi_pe_dma_0;
5008
  wire             hibi_re_out_from_the_hibi_pe_dma_0;
5009
  wire             hibi_we_out_from_the_hibi_pe_dma_0;
5010
  wire             jtag_uart_0_avalon_jtag_slave_dataavailable_from_sa;
5011
  wire             jtag_uart_0_avalon_jtag_slave_readyfordata_from_sa;
5012
  reg              reset_n;
5013
  wire             sysid_control_slave_clock;
5014
 
5015
 
5016
// <ALTERA_NOTE> CODE INSERTED BETWEEN HERE
5017
//  add your signals and additional architecture here
5018
// AND HERE WILL BE PRESERVED </ALTERA_NOTE>
5019
 
5020
  //Set us up the Dut
5021
  nios_ii_sram DUT
5022
    (
5023
      .SRAM_ADDR_from_the_sram_0            (SRAM_ADDR_from_the_sram_0),
5024
      .SRAM_CE_N_from_the_sram_0            (SRAM_CE_N_from_the_sram_0),
5025
      .SRAM_DQ_to_and_from_the_sram_0       (SRAM_DQ_to_and_from_the_sram_0),
5026
      .SRAM_LB_N_from_the_sram_0            (SRAM_LB_N_from_the_sram_0),
5027
      .SRAM_OE_N_from_the_sram_0            (SRAM_OE_N_from_the_sram_0),
5028
      .SRAM_UB_N_from_the_sram_0            (SRAM_UB_N_from_the_sram_0),
5029
      .SRAM_WE_N_from_the_sram_0            (SRAM_WE_N_from_the_sram_0),
5030
      .clk_0                                (clk_0),
5031
      .hibi_av_in_to_the_hibi_pe_dma_0      (hibi_av_in_to_the_hibi_pe_dma_0),
5032
      .hibi_av_out_from_the_hibi_pe_dma_0   (hibi_av_out_from_the_hibi_pe_dma_0),
5033
      .hibi_comm_in_to_the_hibi_pe_dma_0    (hibi_comm_in_to_the_hibi_pe_dma_0),
5034
      .hibi_comm_out_from_the_hibi_pe_dma_0 (hibi_comm_out_from_the_hibi_pe_dma_0),
5035
      .hibi_data_in_to_the_hibi_pe_dma_0    (hibi_data_in_to_the_hibi_pe_dma_0),
5036
      .hibi_data_out_from_the_hibi_pe_dma_0 (hibi_data_out_from_the_hibi_pe_dma_0),
5037
      .hibi_empty_in_to_the_hibi_pe_dma_0   (hibi_empty_in_to_the_hibi_pe_dma_0),
5038
      .hibi_full_in_to_the_hibi_pe_dma_0    (hibi_full_in_to_the_hibi_pe_dma_0),
5039
      .hibi_re_out_from_the_hibi_pe_dma_0   (hibi_re_out_from_the_hibi_pe_dma_0),
5040
      .hibi_we_out_from_the_hibi_pe_dma_0   (hibi_we_out_from_the_hibi_pe_dma_0),
5041
      .reset_n                              (reset_n)
5042
    );
5043
 
5044
  initial
5045
    clk_0 = 1'b0;
5046
  always
5047
    #10 clk_0 <= ~clk_0;
5048
 
5049
  initial
5050
    begin
5051
      reset_n <= 0;
5052
      #200 reset_n <= 1;
5053
    end
5054
 
5055
endmodule
5056
 
5057
 
5058
//synthesis translate_on

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.