OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.accelerator/] [dct_to_hibi/] [1.0/] [tb/] [tb_dct_package.vhd] - Blame information for rev 168

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 145 lanttu
-------------------------------------------------------------------------------
2
-- Title      : A Package for DCTQIDCT testbench
3
-- Project    : 
4
-------------------------------------------------------------------------------
5
-- File       : tb_dct_package.vhd
6
-- Author     : Antti Rasmus
7
-- Created    : 2006-05-02
8 168 lanttu
-- Last update: 2013-03-22
9 145 lanttu
-------------------------------------------------------------------------------
10
-- Copyright (c) 2006 
11
-------------------------------------------------------------------------------
12
-- Revisions  :
13
-- Date        Version  Author  Description
14
-- 2006-05-02  1.0      rasmusa Created
15
-------------------------------------------------------------------------------
16
 
17
 
18
library ieee;
19
use ieee.std_logic_1164.all;
20
 
21
package tb_dct_package is
22
 
23
  -----------------------------------------------------------------------------
24
  -- General settings
25
  -----------------------------------------------------------------------------
26
 
27
  constant clk_period_c : time := 50 ns;
28
  constant fast_clk_divider_c : integer := 1;
29
  constant slow_clk_multiplier_c : integer := 1;
30
  constant reset_time_c : time := 250 ns;
31
 
32
  constant use_self_rel_c : integer := 1;
33
 
34
  constant data_width_c : integer := 32;
35 168 lanttu
  constant comm_width_c : integer := 5; --switched to use hibiv3
36 145 lanttu
 
37
  -----------------------------------------------------------------------------
38
  -- Hibi addresses for cpu and dct and other hibi parameters
39
  -----------------------------------------------------------------------------
40 168 lanttu
  constant hibi_addr_cpu_c : integer := 16#0300_0000#;
41
  constant hibi_addr_dct_c : integer := 16#0100_0000#;
42
  constant hibi_addr_cpu_rtm_c : integer := 16#0300_0110#;
43 145 lanttu
  constant hibi_addr_pinger1_c : integer := 16#0b00_0300#;
44
  constant hibi_addr_pinger2_c : integer := 16#0b00_0500#;
45
 
46
  constant ip_addr_width_c : integer := 24;  -- Each zero represents 4 bits..
47
 
48
  constant id_width_c       : integer := 3;
49
  constant counter_width_c  : integer := 16;
50
  constant addr_width_c     : integer := 32;
51
  constant max_send_c       : integer := 25;
52
  constant n_time_slots_c   : integer := 0;
53
  constant n_extra_params_c : integer := 0;
54
  constant n_agents_c : integer := 4;
55
 
56
end tb_dct_package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.