OpenCores
URL https://opencores.org/ocsvn/fwrisc/fwrisc/trunk

Subversion Repositories fwrisc

[/] [fwrisc/] [trunk/] [synth/] [intel/] [fwrisc/] [fwrisc.qsf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mballance
# -------------------------------------------------------------------------- #
2
#
3
# Copyright (C) 2018  Intel Corporation. All rights reserved.
4
# Your use of Intel Corporation's design tools, logic functions
5
# and other software and tools, and its AMPP partner logic
6
# functions, and any output files from any of the foregoing
7
# (including device programming or simulation files), and any
8
# associated documentation or information are expressly subject
9
# to the terms and conditions of the Intel Program License
10
# Subscription Agreement, the Intel Quartus Prime License Agreement,
11
# the Intel FPGA IP License Agreement, or other applicable license
12
# agreement, including, without limitation, that your use is for
13
# the sole purpose of programming logic devices manufactured by
14
# Intel and sold by Intel or its authorized distributors.  Please
15
# refer to the applicable agreement for further details.
16
#
17
# -------------------------------------------------------------------------- #
18
#
19
# Quartus Prime
20
# Version 18.0.0 Build 614 04/24/2018 SJ Lite Edition
21
# Date created = 13:14:22  November 14, 2018
22
#
23
# -------------------------------------------------------------------------- #
24
#
25
# Notes:
26
#
27
# 1) The default values for assignments are stored in the file:
28
#               fwrisc_assignment_defaults.qdf
29
#    If this file doesn't exist, see file:
30
#               assignment_defaults.qdf
31
#
32
# 2) Altera recommends that you do not modify this file. This
33
#    file is updated automatically by the Quartus Prime software
34
#    and any changes you make may be lost or overwritten.
35
#
36
# -------------------------------------------------------------------------- #
37
 
38
 
39
set_global_assignment -name FAMILY "Cyclone V"
40
set_global_assignment -name DEVICE 5CGXFC7C7F23C8
41
set_global_assignment -name TOP_LEVEL_ENTITY fwrisc
42
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 18.0.0
43
set_global_assignment -name PROJECT_CREATION_TIME_DATE "13:14:22  NOVEMBER 14, 2018"
44
set_global_assignment -name LAST_QUARTUS_VERSION "18.0.0 Lite Edition"
45
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
46
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256
47
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)"
48
set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation
49
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation
50
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
51
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
52
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
53
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
54
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
55
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
56
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
57
set_global_assignment -name SYSTEMVERILOG_FILE ../../../rtl/fwrisc_alu.sv
58
set_global_assignment -name SYSTEMVERILOG_FILE ../../../rtl/fwrisc_tracer.sv
59
set_global_assignment -name SYSTEMVERILOG_FILE ../../../rtl/fwrisc_regfile.sv
60
set_global_assignment -name SYSTEMVERILOG_FILE ../../../rtl/fwrisc_comparator.sv
61
set_global_assignment -name SYSTEMVERILOG_FILE ../../../rtl/fwrisc.sv
62
set_global_assignment -name SYSTEMVERILOG_FILE ../../../rtl/fwrisc_dbus_if.sv
63
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.