OpenCores
URL https://opencores.org/ocsvn/g729a_codec/g729a_codec/trunk

Subversion Repositories g729a_codec

[/] [g729a_codec/] [trunk/] [VHDL/] [G729A_asip_logic.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 madsilicon
-----------------------------------------------------------------
2
--                                                             --
3
-----------------------------------------------------------------
4
--                                                             --
5
-- Copyright (C) 2013 Stefano Tonello                          --
6
--                                                             --
7
-- This source file may be used and distributed without        --
8
-- restriction provided that this copyright statement is not   --
9
-- removed from the file and that any derivative work contains --
10
-- the original copyright notice and the associated disclaimer.--
11
--                                                             --
12
-- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY         --
13
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   --
14
-- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   --
15
-- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      --
16
-- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         --
17
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    --
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   --
19
-- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        --
20
-- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  --
21
-- LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  --
22
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  --
23
-- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         --
24
-- POSSIBILITY OF SUCH DAMAGE.                                 --
25
--                                                             --
26
-----------------------------------------------------------------
27
 
28
---------------------------------------------------------------
29
-- G.729A ASIP logic (boolean) unit
30
---------------------------------------------------------------
31
 
32
library IEEE;
33
use IEEE.std_logic_1164.all;
34
use IEEE.numeric_std.all;
35
 
36
library WORK;
37
use WORK.G729A_ASIP_PKG.all;
38
use WORK.G729A_ASIP_BASIC_PKG.all;
39
use WORK.G729A_ASIP_ARITH_PKG.all;
40
 
41
--use WORK.G729A_TYPES_PKG.all;
42
--use WORK.G729A_BASIC_PKG.all;
43
--use WORK.G729A_ARITH_PKG.all;
44
--use WORK.G729A_CODER_PKG.all;
45
 
46
entity G729A_ASIP_LOGIC is
47
  port(
48
    --STRT_i : in std_logic;
49
    CTRL_i : in LOG_CTRL;
50
    OPA_i : in LDWORD_T;
51
    OPB_i : in LDWORD_T;
52
 
53
    RES_o : out LDWORD_T
54
  );
55
end G729A_ASIP_LOGIC;
56
 
57
architecture ARC of G729A_ASIP_LOGIC is
58
 
59
begin
60
 
61
  process(CTRL_i,OPA_i,OPB_i)
62
    variable OPA_LO,OPB_LO : signed(SDLEN-1 downto 0);
63
  begin
64
    OPA_LO := OPA_i(SDLEN-1 downto 0);
65
    OPB_LO := OPB_i(SDLEN-1 downto 0);
66
    case CTRL_i is
67
      when LC_AND =>
68
        RES_o(SDLEN-1 downto 0) <= (OPA_LO and OPB_LO);
69
      when others => --LC_OR
70
        RES_o(SDLEN-1 downto 0) <= (OPA_LO or OPB_LO);
71
    end case;
72
  end process;
73
 
74
  RES_o(LDLEN-1 downto SDLEN) <= (others => '0');
75
 
76
end ARC;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.