OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-ip/] [core/] [GECKO3COM_simple_test.cpj] - Blame information for rev 26

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 26 nussgipfel
#ChipScope Pro Analyzer Project File, Version 3.0
2
#Thu Feb 18 16:29:57 CET 2010
3
deviceChain.deviceName0=XC3S1500
4
deviceChain.iRLength0=6
5
deviceChain.name0=MyDevice0
6
deviceIds=01434093
7
import.certifyIdx=-1
8
import.dir=/home/chrigi/bfh-work/GECKO3COM/gecko3com-ip/core/
9
import.filename=GECKO3COM_simple_test.cdc
10
import.unitDeviceIdx=0
11
mdiAreaHeight=0.8265503875968992
12
mdiAreaHeightLast=0.689922480620155
13
mdiCount=2
14
mdiDevice0=0
15
mdiDevice1=0
16
mdiType0=1
17
mdiType1=0
18
mdiUnit0=0
19
mdiUnit1=0
20
navigatorHeight=0.17926356589147288
21
navigatorHeightLast=0.17926356589147288
22
navigatorWidth=0.19253554502369669
23
navigatorWidthLast=0.49111374407582936
24
unit.-1.-1.username=
25
unit.0.0.0.HEIGHT0=0.45882353
26
unit.0.0.0.TriggerRow0=1
27
unit.0.0.0.TriggerRow1=1
28
unit.0.0.0.TriggerRow2=1
29
unit.0.0.0.WIDTH0=1.0104244
30
unit.0.0.0.X0=0.0
31
unit.0.0.0.Y0=0.0
32
unit.0.0.1.HEIGHT1=0.9082353
33
unit.0.0.1.WIDTH1=1.0781832
34
unit.0.0.1.X1=0.0
35
unit.0.0.1.Y1=0.08117647
36
unit.0.0.MFBitsA0=XXXX1XXXXXXX
37
unit.0.0.MFBitsA1=XXXXXXXXXXXX
38
unit.0.0.MFBitsB0=000000000000
39
unit.0.0.MFBitsB1=000000000000
40
unit.0.0.MFCompareA0=0
41
unit.0.0.MFCompareA1=0
42
unit.0.0.MFCompareB0=999
43
unit.0.0.MFCompareB1=999
44
unit.0.0.MFCount=2
45
unit.0.0.MFDisplay0=0
46
unit.0.0.MFDisplay1=0
47
unit.0.0.MFEventType0=3
48
unit.0.0.MFEventType1=3
49
unit.0.0.SQCondition=All Data
50
unit.0.0.SQContiguous0=0
51
unit.0.0.SequencerOn=0
52
unit.0.0.TCActive=0
53
unit.0.0.TCAdvanced0=0
54
unit.0.0.TCCondition0_0=M0
55
unit.0.0.TCCondition0_1=M0 --> M0
56
unit.0.0.TCConditionType0=1
57
unit.0.0.TCCount=1
58
unit.0.0.TCEventCount0=1
59
unit.0.0.TCEventType0=3
60
unit.0.0.TCName0=TriggerCondition0
61
unit.0.0.TCOutputEnable0=0
62
unit.0.0.TCOutputHigh0=1
63
unit.0.0.TCOutputMode0=0
64
unit.0.0.browser_tree_state=0
65
unit.0.0.browser_tree_state=0
66
unit.0.0.browser_tree_state=0
67
unit.0.0.browser_tree_state=1
68
unit.0.0.browser_tree_state=1
69
unit.0.0.browser_tree_state=0
70
unit.0.0.coretype=ILA
71
unit.0.0.eventCount0=1
72
unit.0.0.eventCount1=1
73
unit.0.0.port.-1.b.0.alias=/GECKO3COM_simple_1/s_gpif_rx_data
74
unit.0.0.port.-1.b.0.channellist=74 81 82 83 84 85 86 87 88 89 75 76 77 78 79 80
75
unit.0.0.port.-1.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
76
unit.0.0.port.-1.b.0.name=/GECKO3COM_simple_1/s_gpif_rx_data
77
unit.0.0.port.-1.b.0.orderindex=-1
78
unit.0.0.port.-1.b.0.radix=Hex
79
unit.0.0.port.-1.b.0.signedOffset=0.0
80
unit.0.0.port.-1.b.0.signedPrecision=0
81
unit.0.0.port.-1.b.0.signedScaleFactor=1.0
82
unit.0.0.port.-1.b.0.tokencount=0
83
unit.0.0.port.-1.b.0.unsignedOffset=0.0
84
unit.0.0.port.-1.b.0.unsignedPrecision=0
85
unit.0.0.port.-1.b.0.unsignedScaleFactor=1.0
86
unit.0.0.port.-1.b.0.visible=1
87
unit.0.0.port.-1.b.1.alias=/GECKO3COM_simple_1/s_send_mux_sel
88
unit.0.0.port.-1.b.1.channellist=39 40 41
89
unit.0.0.port.-1.b.1.color=java.awt.Color[r\=0,g\=0,b\=124]
90
unit.0.0.port.-1.b.1.name=/GECKO3COM_simple_1/s_send_mux_sel
91
unit.0.0.port.-1.b.1.orderindex=-1
92
unit.0.0.port.-1.b.1.radix=Hex
93
unit.0.0.port.-1.b.1.signedOffset=0.0
94
unit.0.0.port.-1.b.1.signedPrecision=0
95
unit.0.0.port.-1.b.1.signedScaleFactor=1.0
96
unit.0.0.port.-1.b.1.tokencount=0
97
unit.0.0.port.-1.b.1.unsignedOffset=0.0
98
unit.0.0.port.-1.b.1.unsignedPrecision=0
99
unit.0.0.port.-1.b.1.unsignedScaleFactor=1.0
100
unit.0.0.port.-1.b.1.visible=1
101
unit.0.0.port.-1.b.2.alias=GECKO3COM_simple_fsm_1/state_FSM_FFd1
102
unit.0.0.port.-1.b.2.channellist=42 53 64 43 44 45 46 47 48 49 50 51 52 54 55 56 57 58 59 60 61 62 63
103
unit.0.0.port.-1.b.2.color=java.awt.Color[r\=0,g\=0,b\=124]
104
unit.0.0.port.-1.b.2.name=/GECKO3COM_simple_1/o_receive_transfersize
105
unit.0.0.port.-1.b.2.orderindex=-1
106
unit.0.0.port.-1.b.2.radix=Hex
107
unit.0.0.port.-1.b.2.signedOffset=0.0
108
unit.0.0.port.-1.b.2.signedPrecision=0
109
unit.0.0.port.-1.b.2.signedScaleFactor=1.0
110
unit.0.0.port.-1.b.2.tokencount=0
111
unit.0.0.port.-1.b.2.unsignedOffset=0.0
112
unit.0.0.port.-1.b.2.unsignedPrecision=0
113
unit.0.0.port.-1.b.2.unsignedScaleFactor=1.0
114
unit.0.0.port.-1.b.2.visible=1
115
unit.0.0.port.-1.b.3.alias=Mcount_s_receive_transfersize_count_eqn
116
unit.0.0.port.-1.b.3.channellist=91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121
117
unit.0.0.port.-1.b.3.color=java.awt.Color[r\=0,g\=0,b\=124]
118
unit.0.0.port.-1.b.3.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn
119
unit.0.0.port.-1.b.3.orderindex=-1
120
unit.0.0.port.-1.b.3.radix=Hex
121
unit.0.0.port.-1.b.3.signedOffset=0.0
122
unit.0.0.port.-1.b.3.signedPrecision=0
123
unit.0.0.port.-1.b.3.signedScaleFactor=1.0
124
unit.0.0.port.-1.b.3.tokencount=0
125
unit.0.0.port.-1.b.3.unsignedOffset=0.0
126
unit.0.0.port.-1.b.3.unsignedPrecision=0
127
unit.0.0.port.-1.b.3.unsignedScaleFactor=1.0
128
unit.0.0.port.-1.b.3.visible=1
129
unit.0.0.port.-1.b.4.alias=s_btag
130
unit.0.0.port.-1.b.4.channellist=122 123 124 125 126 127 128 129
131
unit.0.0.port.-1.b.4.color=java.awt.Color[r\=0,g\=0,b\=124]
132
unit.0.0.port.-1.b.4.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag
133
unit.0.0.port.-1.b.4.orderindex=-1
134
unit.0.0.port.-1.b.4.radix=Hex
135
unit.0.0.port.-1.b.4.signedOffset=0.0
136
unit.0.0.port.-1.b.4.signedPrecision=0
137
unit.0.0.port.-1.b.4.signedScaleFactor=1.0
138
unit.0.0.port.-1.b.4.tokencount=0
139
unit.0.0.port.-1.b.4.unsignedOffset=0.0
140
unit.0.0.port.-1.b.4.unsignedPrecision=0
141
unit.0.0.port.-1.b.4.unsignedScaleFactor=1.0
142
unit.0.0.port.-1.b.4.visible=1
143
unit.0.0.port.-1.b.5.alias=s_msg_id
144
unit.0.0.port.-1.b.5.channellist=130 131 132 133 134 135 136 137
145
unit.0.0.port.-1.b.5.color=java.awt.Color[r\=0,g\=0,b\=124]
146
unit.0.0.port.-1.b.5.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_msg_id
147
unit.0.0.port.-1.b.5.orderindex=-1
148
unit.0.0.port.-1.b.5.radix=Hex
149
unit.0.0.port.-1.b.5.signedOffset=0.0
150
unit.0.0.port.-1.b.5.signedPrecision=0
151
unit.0.0.port.-1.b.5.signedScaleFactor=1.0
152
unit.0.0.port.-1.b.5.tokencount=0
153
unit.0.0.port.-1.b.5.unsignedOffset=0.0
154
unit.0.0.port.-1.b.5.unsignedPrecision=0
155
unit.0.0.port.-1.b.5.unsignedScaleFactor=1.0
156
unit.0.0.port.-1.b.5.visible=1
157
unit.0.0.port.-1.b.6.alias=s_nbtag
158
unit.0.0.port.-1.b.6.channellist=138 139 140 141 142 143 144 145
159
unit.0.0.port.-1.b.6.color=java.awt.Color[r\=0,g\=0,b\=124]
160
unit.0.0.port.-1.b.6.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag
161
unit.0.0.port.-1.b.6.orderindex=-1
162
unit.0.0.port.-1.b.6.radix=Hex
163
unit.0.0.port.-1.b.6.signedOffset=0.0
164
unit.0.0.port.-1.b.6.signedPrecision=0
165
unit.0.0.port.-1.b.6.signedScaleFactor=1.0
166
unit.0.0.port.-1.b.6.tokencount=0
167
unit.0.0.port.-1.b.6.unsignedOffset=0.0
168
unit.0.0.port.-1.b.6.unsignedPrecision=0
169
unit.0.0.port.-1.b.6.unsignedScaleFactor=1.0
170
unit.0.0.port.-1.b.6.visible=1
171
unit.0.0.port.-1.b.7.alias=s_receive_transfersize_en
172
unit.0.0.port.-1.b.7.channellist=29 30
173
unit.0.0.port.-1.b.7.color=java.awt.Color[r\=0,g\=0,b\=124]
174
unit.0.0.port.-1.b.7.name=/GECKO3COM_simple_1/s_receive_transfersize_en
175
unit.0.0.port.-1.b.7.orderindex=-1
176
unit.0.0.port.-1.b.7.radix=Hex
177
unit.0.0.port.-1.b.7.signedOffset=0.0
178
unit.0.0.port.-1.b.7.signedPrecision=0
179
unit.0.0.port.-1.b.7.signedScaleFactor=1.0
180
unit.0.0.port.-1.b.7.tokencount=0
181
unit.0.0.port.-1.b.7.unsignedOffset=0.0
182
unit.0.0.port.-1.b.7.unsignedPrecision=0
183
unit.0.0.port.-1.b.7.unsignedScaleFactor=1.0
184
unit.0.0.port.-1.b.7.visible=1
185
unit.0.0.port.-1.buscount=8
186
unit.0.0.port.-1.channelcount=146
187
unit.0.0.port.-1.s.0.alias=
188
unit.0.0.port.-1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
189
unit.0.0.port.-1.s.0.name=/GECKO3COM_simple_1/i_nReset
190
unit.0.0.port.-1.s.0.orderindex=-1
191
unit.0.0.port.-1.s.0.visible=1
192
unit.0.0.port.-1.s.1.alias=
193
unit.0.0.port.-1.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
194
unit.0.0.port.-1.s.1.name=/GECKO3COM_simple_1/i_receive_fifo_rd_en
195
unit.0.0.port.-1.s.1.orderindex=-1
196
unit.0.0.port.-1.s.1.visible=1
197
unit.0.0.port.-1.s.10.alias=
198
unit.0.0.port.-1.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
199
unit.0.0.port.-1.s.10.name=/GECKO3COM_simple_1/s_btag_correct
200
unit.0.0.port.-1.s.10.orderindex=-1
201
unit.0.0.port.-1.s.10.visible=1
202
unit.0.0.port.-1.s.100.alias=
203
unit.0.0.port.-1.s.100.color=java.awt.Color[r\=0,g\=0,b\=124]
204
unit.0.0.port.-1.s.100.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_9
205
unit.0.0.port.-1.s.100.orderindex=-1
206
unit.0.0.port.-1.s.100.visible=0
207
unit.0.0.port.-1.s.101.alias=
208
unit.0.0.port.-1.s.101.color=java.awt.Color[r\=0,g\=0,b\=124]
209
unit.0.0.port.-1.s.101.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_10
210
unit.0.0.port.-1.s.101.orderindex=-1
211
unit.0.0.port.-1.s.101.visible=0
212
unit.0.0.port.-1.s.102.alias=
213
unit.0.0.port.-1.s.102.color=java.awt.Color[r\=0,g\=0,b\=124]
214
unit.0.0.port.-1.s.102.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_11
215
unit.0.0.port.-1.s.102.orderindex=-1
216
unit.0.0.port.-1.s.102.visible=0
217
unit.0.0.port.-1.s.103.alias=
218
unit.0.0.port.-1.s.103.color=java.awt.Color[r\=0,g\=0,b\=124]
219
unit.0.0.port.-1.s.103.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_12
220
unit.0.0.port.-1.s.103.orderindex=-1
221
unit.0.0.port.-1.s.103.visible=0
222
unit.0.0.port.-1.s.104.alias=
223
unit.0.0.port.-1.s.104.color=java.awt.Color[r\=0,g\=0,b\=124]
224
unit.0.0.port.-1.s.104.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_13
225
unit.0.0.port.-1.s.104.orderindex=-1
226
unit.0.0.port.-1.s.104.visible=0
227
unit.0.0.port.-1.s.105.alias=
228
unit.0.0.port.-1.s.105.color=java.awt.Color[r\=0,g\=0,b\=124]
229
unit.0.0.port.-1.s.105.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_14
230
unit.0.0.port.-1.s.105.orderindex=-1
231
unit.0.0.port.-1.s.105.visible=0
232
unit.0.0.port.-1.s.106.alias=
233
unit.0.0.port.-1.s.106.color=java.awt.Color[r\=0,g\=0,b\=124]
234
unit.0.0.port.-1.s.106.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_15
235
unit.0.0.port.-1.s.106.orderindex=-1
236
unit.0.0.port.-1.s.106.visible=0
237
unit.0.0.port.-1.s.107.alias=
238
unit.0.0.port.-1.s.107.color=java.awt.Color[r\=0,g\=0,b\=124]
239
unit.0.0.port.-1.s.107.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_16
240
unit.0.0.port.-1.s.107.orderindex=-1
241
unit.0.0.port.-1.s.107.visible=0
242
unit.0.0.port.-1.s.108.alias=
243
unit.0.0.port.-1.s.108.color=java.awt.Color[r\=0,g\=0,b\=124]
244
unit.0.0.port.-1.s.108.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_17
245
unit.0.0.port.-1.s.108.orderindex=-1
246
unit.0.0.port.-1.s.108.visible=0
247
unit.0.0.port.-1.s.109.alias=
248
unit.0.0.port.-1.s.109.color=java.awt.Color[r\=0,g\=0,b\=124]
249
unit.0.0.port.-1.s.109.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_18
250
unit.0.0.port.-1.s.109.orderindex=-1
251
unit.0.0.port.-1.s.109.visible=0
252
unit.0.0.port.-1.s.11.alias=
253
unit.0.0.port.-1.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
254
unit.0.0.port.-1.s.11.name=/GECKO3COM_simple_1/s_btag_reg_en
255
unit.0.0.port.-1.s.11.orderindex=-1
256
unit.0.0.port.-1.s.11.visible=1
257
unit.0.0.port.-1.s.110.alias=
258
unit.0.0.port.-1.s.110.color=java.awt.Color[r\=0,g\=0,b\=124]
259
unit.0.0.port.-1.s.110.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_19
260
unit.0.0.port.-1.s.110.orderindex=-1
261
unit.0.0.port.-1.s.110.visible=0
262
unit.0.0.port.-1.s.111.alias=
263
unit.0.0.port.-1.s.111.color=java.awt.Color[r\=0,g\=0,b\=124]
264
unit.0.0.port.-1.s.111.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_20
265
unit.0.0.port.-1.s.111.orderindex=-1
266
unit.0.0.port.-1.s.111.visible=0
267
unit.0.0.port.-1.s.112.alias=
268
unit.0.0.port.-1.s.112.color=java.awt.Color[r\=0,g\=0,b\=124]
269
unit.0.0.port.-1.s.112.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_21
270
unit.0.0.port.-1.s.112.orderindex=-1
271
unit.0.0.port.-1.s.112.visible=0
272
unit.0.0.port.-1.s.113.alias=
273
unit.0.0.port.-1.s.113.color=java.awt.Color[r\=0,g\=0,b\=124]
274
unit.0.0.port.-1.s.113.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_22
275
unit.0.0.port.-1.s.113.orderindex=-1
276
unit.0.0.port.-1.s.113.visible=0
277
unit.0.0.port.-1.s.114.alias=
278
unit.0.0.port.-1.s.114.color=java.awt.Color[r\=0,g\=0,b\=124]
279
unit.0.0.port.-1.s.114.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_23
280
unit.0.0.port.-1.s.114.orderindex=-1
281
unit.0.0.port.-1.s.114.visible=0
282
unit.0.0.port.-1.s.115.alias=
283
unit.0.0.port.-1.s.115.color=java.awt.Color[r\=0,g\=0,b\=124]
284
unit.0.0.port.-1.s.115.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_24
285
unit.0.0.port.-1.s.115.orderindex=-1
286
unit.0.0.port.-1.s.115.visible=0
287
unit.0.0.port.-1.s.116.alias=
288
unit.0.0.port.-1.s.116.color=java.awt.Color[r\=0,g\=0,b\=124]
289
unit.0.0.port.-1.s.116.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_25
290
unit.0.0.port.-1.s.116.orderindex=-1
291
unit.0.0.port.-1.s.116.visible=0
292
unit.0.0.port.-1.s.117.alias=
293
unit.0.0.port.-1.s.117.color=java.awt.Color[r\=0,g\=0,b\=124]
294
unit.0.0.port.-1.s.117.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_26
295
unit.0.0.port.-1.s.117.orderindex=-1
296
unit.0.0.port.-1.s.117.visible=0
297
unit.0.0.port.-1.s.118.alias=
298
unit.0.0.port.-1.s.118.color=java.awt.Color[r\=0,g\=0,b\=124]
299
unit.0.0.port.-1.s.118.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_27
300
unit.0.0.port.-1.s.118.orderindex=-1
301
unit.0.0.port.-1.s.118.visible=0
302
unit.0.0.port.-1.s.119.alias=
303
unit.0.0.port.-1.s.119.color=java.awt.Color[r\=0,g\=0,b\=124]
304
unit.0.0.port.-1.s.119.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_28
305
unit.0.0.port.-1.s.119.orderindex=-1
306
unit.0.0.port.-1.s.119.visible=0
307
unit.0.0.port.-1.s.12.alias=
308
unit.0.0.port.-1.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
309
unit.0.0.port.-1.s.12.name=/GECKO3COM_simple_1/s_dev_dep_msg_out
310
unit.0.0.port.-1.s.12.orderindex=-1
311
unit.0.0.port.-1.s.12.visible=1
312
unit.0.0.port.-1.s.120.alias=
313
unit.0.0.port.-1.s.120.color=java.awt.Color[r\=0,g\=0,b\=124]
314
unit.0.0.port.-1.s.120.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_29
315
unit.0.0.port.-1.s.120.orderindex=-1
316
unit.0.0.port.-1.s.120.visible=0
317
unit.0.0.port.-1.s.121.alias=
318
unit.0.0.port.-1.s.121.color=java.awt.Color[r\=0,g\=0,b\=124]
319
unit.0.0.port.-1.s.121.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_30
320
unit.0.0.port.-1.s.121.orderindex=-1
321
unit.0.0.port.-1.s.121.visible=0
322
unit.0.0.port.-1.s.122.alias=
323
unit.0.0.port.-1.s.122.color=java.awt.Color[r\=0,g\=0,b\=124]
324
unit.0.0.port.-1.s.122.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag<0>
325
unit.0.0.port.-1.s.122.orderindex=-1
326
unit.0.0.port.-1.s.122.visible=0
327
unit.0.0.port.-1.s.123.alias=
328
unit.0.0.port.-1.s.123.color=java.awt.Color[r\=0,g\=0,b\=124]
329
unit.0.0.port.-1.s.123.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag<1>
330
unit.0.0.port.-1.s.123.orderindex=-1
331
unit.0.0.port.-1.s.123.visible=0
332
unit.0.0.port.-1.s.124.alias=
333
unit.0.0.port.-1.s.124.color=java.awt.Color[r\=0,g\=0,b\=124]
334
unit.0.0.port.-1.s.124.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag<2>
335
unit.0.0.port.-1.s.124.orderindex=-1
336
unit.0.0.port.-1.s.124.visible=0
337
unit.0.0.port.-1.s.125.alias=
338
unit.0.0.port.-1.s.125.color=java.awt.Color[r\=0,g\=0,b\=124]
339
unit.0.0.port.-1.s.125.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag<3>
340
unit.0.0.port.-1.s.125.orderindex=-1
341
unit.0.0.port.-1.s.125.visible=0
342
unit.0.0.port.-1.s.126.alias=
343
unit.0.0.port.-1.s.126.color=java.awt.Color[r\=0,g\=0,b\=124]
344
unit.0.0.port.-1.s.126.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag<4>
345
unit.0.0.port.-1.s.126.orderindex=-1
346
unit.0.0.port.-1.s.126.visible=0
347
unit.0.0.port.-1.s.127.alias=
348
unit.0.0.port.-1.s.127.color=java.awt.Color[r\=0,g\=0,b\=124]
349
unit.0.0.port.-1.s.127.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag<5>
350
unit.0.0.port.-1.s.127.orderindex=-1
351
unit.0.0.port.-1.s.127.visible=0
352
unit.0.0.port.-1.s.128.alias=
353
unit.0.0.port.-1.s.128.color=java.awt.Color[r\=0,g\=0,b\=124]
354
unit.0.0.port.-1.s.128.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag<6>
355
unit.0.0.port.-1.s.128.orderindex=-1
356
unit.0.0.port.-1.s.128.visible=0
357
unit.0.0.port.-1.s.129.alias=
358
unit.0.0.port.-1.s.129.color=java.awt.Color[r\=0,g\=0,b\=124]
359
unit.0.0.port.-1.s.129.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag<7>
360
unit.0.0.port.-1.s.129.orderindex=-1
361
unit.0.0.port.-1.s.129.visible=0
362
unit.0.0.port.-1.s.13.alias=
363
unit.0.0.port.-1.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
364
unit.0.0.port.-1.s.13.name=/GECKO3COM_simple_1/s_eom_bit_detected
365
unit.0.0.port.-1.s.13.orderindex=-1
366
unit.0.0.port.-1.s.13.visible=1
367
unit.0.0.port.-1.s.130.alias=
368
unit.0.0.port.-1.s.130.color=java.awt.Color[r\=0,g\=0,b\=124]
369
unit.0.0.port.-1.s.130.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_msg_id<0>
370
unit.0.0.port.-1.s.130.orderindex=-1
371
unit.0.0.port.-1.s.130.visible=0
372
unit.0.0.port.-1.s.131.alias=
373
unit.0.0.port.-1.s.131.color=java.awt.Color[r\=0,g\=0,b\=124]
374
unit.0.0.port.-1.s.131.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_msg_id<1>
375
unit.0.0.port.-1.s.131.orderindex=-1
376
unit.0.0.port.-1.s.131.visible=0
377
unit.0.0.port.-1.s.132.alias=
378
unit.0.0.port.-1.s.132.color=java.awt.Color[r\=0,g\=0,b\=124]
379
unit.0.0.port.-1.s.132.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_msg_id<2>
380
unit.0.0.port.-1.s.132.orderindex=-1
381
unit.0.0.port.-1.s.132.visible=0
382
unit.0.0.port.-1.s.133.alias=
383
unit.0.0.port.-1.s.133.color=java.awt.Color[r\=0,g\=0,b\=124]
384
unit.0.0.port.-1.s.133.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_msg_id<3>
385
unit.0.0.port.-1.s.133.orderindex=-1
386
unit.0.0.port.-1.s.133.visible=0
387
unit.0.0.port.-1.s.134.alias=
388
unit.0.0.port.-1.s.134.color=java.awt.Color[r\=0,g\=0,b\=124]
389
unit.0.0.port.-1.s.134.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_msg_id<4>
390
unit.0.0.port.-1.s.134.orderindex=-1
391
unit.0.0.port.-1.s.134.visible=0
392
unit.0.0.port.-1.s.135.alias=
393
unit.0.0.port.-1.s.135.color=java.awt.Color[r\=0,g\=0,b\=124]
394
unit.0.0.port.-1.s.135.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_msg_id<5>
395
unit.0.0.port.-1.s.135.orderindex=-1
396
unit.0.0.port.-1.s.135.visible=0
397
unit.0.0.port.-1.s.136.alias=
398
unit.0.0.port.-1.s.136.color=java.awt.Color[r\=0,g\=0,b\=124]
399
unit.0.0.port.-1.s.136.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_msg_id<6>
400
unit.0.0.port.-1.s.136.orderindex=-1
401
unit.0.0.port.-1.s.136.visible=0
402
unit.0.0.port.-1.s.137.alias=
403
unit.0.0.port.-1.s.137.color=java.awt.Color[r\=0,g\=0,b\=124]
404
unit.0.0.port.-1.s.137.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_msg_id<7>
405
unit.0.0.port.-1.s.137.orderindex=-1
406
unit.0.0.port.-1.s.137.visible=0
407
unit.0.0.port.-1.s.138.alias=
408
unit.0.0.port.-1.s.138.color=java.awt.Color[r\=0,g\=0,b\=124]
409
unit.0.0.port.-1.s.138.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<0>
410
unit.0.0.port.-1.s.138.orderindex=-1
411
unit.0.0.port.-1.s.138.visible=0
412
unit.0.0.port.-1.s.139.alias=
413
unit.0.0.port.-1.s.139.color=java.awt.Color[r\=0,g\=0,b\=124]
414
unit.0.0.port.-1.s.139.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<1>
415
unit.0.0.port.-1.s.139.orderindex=-1
416
unit.0.0.port.-1.s.139.visible=0
417
unit.0.0.port.-1.s.14.alias=
418
unit.0.0.port.-1.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
419
unit.0.0.port.-1.s.14.name=/GECKO3COM_simple_1/s_gpif_abort
420
unit.0.0.port.-1.s.14.orderindex=-1
421
unit.0.0.port.-1.s.14.visible=1
422
unit.0.0.port.-1.s.140.alias=
423
unit.0.0.port.-1.s.140.color=java.awt.Color[r\=0,g\=0,b\=124]
424
unit.0.0.port.-1.s.140.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<2>
425
unit.0.0.port.-1.s.140.orderindex=-1
426
unit.0.0.port.-1.s.140.visible=0
427
unit.0.0.port.-1.s.141.alias=
428
unit.0.0.port.-1.s.141.color=java.awt.Color[r\=0,g\=0,b\=124]
429
unit.0.0.port.-1.s.141.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<3>
430
unit.0.0.port.-1.s.141.orderindex=-1
431
unit.0.0.port.-1.s.141.visible=0
432
unit.0.0.port.-1.s.142.alias=
433
unit.0.0.port.-1.s.142.color=java.awt.Color[r\=0,g\=0,b\=124]
434
unit.0.0.port.-1.s.142.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<4>
435
unit.0.0.port.-1.s.142.orderindex=-1
436
unit.0.0.port.-1.s.142.visible=0
437
unit.0.0.port.-1.s.143.alias=
438
unit.0.0.port.-1.s.143.color=java.awt.Color[r\=0,g\=0,b\=124]
439
unit.0.0.port.-1.s.143.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<5>
440
unit.0.0.port.-1.s.143.orderindex=-1
441
unit.0.0.port.-1.s.143.visible=0
442
unit.0.0.port.-1.s.144.alias=
443
unit.0.0.port.-1.s.144.color=java.awt.Color[r\=0,g\=0,b\=124]
444
unit.0.0.port.-1.s.144.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<6>
445
unit.0.0.port.-1.s.144.orderindex=-1
446
unit.0.0.port.-1.s.144.visible=0
447
unit.0.0.port.-1.s.145.alias=
448
unit.0.0.port.-1.s.145.color=java.awt.Color[r\=0,g\=0,b\=124]
449
unit.0.0.port.-1.s.145.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
450
unit.0.0.port.-1.s.145.orderindex=-1
451
unit.0.0.port.-1.s.145.visible=0
452
unit.0.0.port.-1.s.15.alias=
453
unit.0.0.port.-1.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
454
unit.0.0.port.-1.s.15.name=/GECKO3COM_simple_1/s_gpif_eom
455
unit.0.0.port.-1.s.15.orderindex=-1
456
unit.0.0.port.-1.s.15.visible=1
457
unit.0.0.port.-1.s.16.alias=
458
unit.0.0.port.-1.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
459
unit.0.0.port.-1.s.16.name=/GECKO3COM_simple_1/s_gpif_rx_empty
460
unit.0.0.port.-1.s.16.orderindex=-1
461
unit.0.0.port.-1.s.16.visible=1
462
unit.0.0.port.-1.s.17.alias=
463
unit.0.0.port.-1.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
464
unit.0.0.port.-1.s.17.name=/GECKO3COM_simple_1/s_gpif_rx_rd_en
465
unit.0.0.port.-1.s.17.orderindex=-1
466
unit.0.0.port.-1.s.17.visible=1
467
unit.0.0.port.-1.s.18.alias=
468
unit.0.0.port.-1.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
469
unit.0.0.port.-1.s.18.name=/GECKO3COM_simple_1/s_gpif_tx_full
470
unit.0.0.port.-1.s.18.orderindex=-1
471
unit.0.0.port.-1.s.18.visible=1
472
unit.0.0.port.-1.s.19.alias=
473
unit.0.0.port.-1.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
474
unit.0.0.port.-1.s.19.name=/GECKO3COM_simple_1/s_gpif_tx_wr_en
475
unit.0.0.port.-1.s.19.orderindex=-1
476
unit.0.0.port.-1.s.19.visible=1
477
unit.0.0.port.-1.s.2.alias=
478
unit.0.0.port.-1.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
479
unit.0.0.port.-1.s.2.name=/GECKO3COM_simple_1/i_send_fifo_wr_en
480
unit.0.0.port.-1.s.2.orderindex=-1
481
unit.0.0.port.-1.s.2.visible=1
482
unit.0.0.port.-1.s.20.alias=
483
unit.0.0.port.-1.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
484
unit.0.0.port.-1.s.20.name=/GECKO3COM_simple_1/s_nbtag_reg_en
485
unit.0.0.port.-1.s.20.orderindex=-1
486
unit.0.0.port.-1.s.20.visible=1
487
unit.0.0.port.-1.s.21.alias=
488
unit.0.0.port.-1.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
489
unit.0.0.port.-1.s.21.name=/GECKO3COM_simple_1/s_receive_counter_en
490
unit.0.0.port.-1.s.21.orderindex=-1
491
unit.0.0.port.-1.s.21.visible=1
492
unit.0.0.port.-1.s.22.alias=
493
unit.0.0.port.-1.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
494
unit.0.0.port.-1.s.22.name=/GECKO3COM_simple_1/s_receive_counter_load
495
unit.0.0.port.-1.s.22.orderindex=-1
496
unit.0.0.port.-1.s.22.visible=1
497
unit.0.0.port.-1.s.23.alias=
498
unit.0.0.port.-1.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
499
unit.0.0.port.-1.s.23.name=/GECKO3COM_simple_1/s_receive_counter_zero
500
unit.0.0.port.-1.s.23.orderindex=-1
501
unit.0.0.port.-1.s.23.visible=1
502
unit.0.0.port.-1.s.24.alias=
503
unit.0.0.port.-1.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
504
unit.0.0.port.-1.s.24.name=/GECKO3COM_simple_1/s_receive_end_of_message_set
505
unit.0.0.port.-1.s.24.orderindex=-1
506
unit.0.0.port.-1.s.24.visible=1
507
unit.0.0.port.-1.s.25.alias=
508
unit.0.0.port.-1.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
509
unit.0.0.port.-1.s.25.name=/GECKO3COM_simple_1/s_receive_fifo_full
510
unit.0.0.port.-1.s.25.orderindex=-1
511
unit.0.0.port.-1.s.25.visible=1
512
unit.0.0.port.-1.s.26.alias=
513
unit.0.0.port.-1.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
514
unit.0.0.port.-1.s.26.name=/GECKO3COM_simple_1/s_receive_fifo_reset
515
unit.0.0.port.-1.s.26.orderindex=-1
516
unit.0.0.port.-1.s.26.visible=1
517
unit.0.0.port.-1.s.27.alias=
518
unit.0.0.port.-1.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
519
unit.0.0.port.-1.s.27.name=/GECKO3COM_simple_1/s_receive_fifo_wr_en
520
unit.0.0.port.-1.s.27.orderindex=-1
521
unit.0.0.port.-1.s.27.visible=1
522
unit.0.0.port.-1.s.28.alias=
523
unit.0.0.port.-1.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
524
unit.0.0.port.-1.s.28.name=/GECKO3COM_simple_1/s_receive_newdata_set
525
unit.0.0.port.-1.s.28.orderindex=-1
526
unit.0.0.port.-1.s.28.visible=1
527
unit.0.0.port.-1.s.29.alias=
528
unit.0.0.port.-1.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
529
unit.0.0.port.-1.s.29.name=/GECKO3COM_simple_1/s_receive_transfersize_en<0>
530
unit.0.0.port.-1.s.29.orderindex=-1
531
unit.0.0.port.-1.s.29.visible=0
532
unit.0.0.port.-1.s.3.alias=
533
unit.0.0.port.-1.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
534
unit.0.0.port.-1.s.3.name=/GECKO3COM_simple_1/i_send_have_more_data
535
unit.0.0.port.-1.s.3.orderindex=-1
536
unit.0.0.port.-1.s.3.visible=1
537
unit.0.0.port.-1.s.30.alias=
538
unit.0.0.port.-1.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
539
unit.0.0.port.-1.s.30.name=/GECKO3COM_simple_1/s_receive_transfersize_en<1>
540
unit.0.0.port.-1.s.30.orderindex=-1
541
unit.0.0.port.-1.s.30.visible=0
542
unit.0.0.port.-1.s.31.alias=
543
unit.0.0.port.-1.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
544
unit.0.0.port.-1.s.31.name=/GECKO3COM_simple_1/s_request_dev_dep_msg_in
545
unit.0.0.port.-1.s.31.orderindex=-1
546
unit.0.0.port.-1.s.31.visible=1
547
unit.0.0.port.-1.s.32.alias=
548
unit.0.0.port.-1.s.32.color=java.awt.Color[r\=0,g\=0,b\=124]
549
unit.0.0.port.-1.s.32.name=/GECKO3COM_simple_1/s_send_counter_en
550
unit.0.0.port.-1.s.32.orderindex=-1
551
unit.0.0.port.-1.s.32.visible=1
552
unit.0.0.port.-1.s.33.alias=
553
unit.0.0.port.-1.s.33.color=java.awt.Color[r\=0,g\=0,b\=124]
554
unit.0.0.port.-1.s.33.name=/GECKO3COM_simple_1/s_send_counter_load
555
unit.0.0.port.-1.s.33.orderindex=-1
556
unit.0.0.port.-1.s.33.visible=1
557
unit.0.0.port.-1.s.34.alias=
558
unit.0.0.port.-1.s.34.color=java.awt.Color[r\=0,g\=0,b\=124]
559
unit.0.0.port.-1.s.34.name=/GECKO3COM_simple_1/s_send_counter_zero
560
unit.0.0.port.-1.s.34.orderindex=-1
561
unit.0.0.port.-1.s.34.visible=1
562
unit.0.0.port.-1.s.35.alias=
563
unit.0.0.port.-1.s.35.color=java.awt.Color[r\=0,g\=0,b\=124]
564
unit.0.0.port.-1.s.35.name=/GECKO3COM_simple_1/s_send_data_request_set
565
unit.0.0.port.-1.s.35.orderindex=-1
566
unit.0.0.port.-1.s.35.visible=1
567
unit.0.0.port.-1.s.36.alias=
568
unit.0.0.port.-1.s.36.color=java.awt.Color[r\=0,g\=0,b\=124]
569
unit.0.0.port.-1.s.36.name=/GECKO3COM_simple_1/s_send_fifo_empty
570
unit.0.0.port.-1.s.36.orderindex=-1
571
unit.0.0.port.-1.s.36.visible=1
572
unit.0.0.port.-1.s.37.alias=
573
unit.0.0.port.-1.s.37.color=java.awt.Color[r\=0,g\=0,b\=124]
574
unit.0.0.port.-1.s.37.name=/GECKO3COM_simple_1/s_send_fifo_rd_en
575
unit.0.0.port.-1.s.37.orderindex=-1
576
unit.0.0.port.-1.s.37.visible=1
577
unit.0.0.port.-1.s.38.alias=
578
unit.0.0.port.-1.s.38.color=java.awt.Color[r\=0,g\=0,b\=124]
579
unit.0.0.port.-1.s.38.name=/GECKO3COM_simple_1/s_send_fifo_reset
580
unit.0.0.port.-1.s.38.orderindex=-1
581
unit.0.0.port.-1.s.38.visible=1
582
unit.0.0.port.-1.s.39.alias=
583
unit.0.0.port.-1.s.39.color=java.awt.Color[r\=0,g\=0,b\=124]
584
unit.0.0.port.-1.s.39.name=/GECKO3COM_simple_1/s_send_mux_sel<0>
585
unit.0.0.port.-1.s.39.orderindex=-1
586
unit.0.0.port.-1.s.39.visible=0
587
unit.0.0.port.-1.s.4.alias=
588
unit.0.0.port.-1.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
589
unit.0.0.port.-1.s.4.name=/GECKO3COM_simple_1/o_receive_end_of_message
590
unit.0.0.port.-1.s.4.orderindex=-1
591
unit.0.0.port.-1.s.4.visible=1
592
unit.0.0.port.-1.s.40.alias=
593
unit.0.0.port.-1.s.40.color=java.awt.Color[r\=0,g\=0,b\=124]
594
unit.0.0.port.-1.s.40.name=/GECKO3COM_simple_1/s_send_mux_sel<1>
595
unit.0.0.port.-1.s.40.orderindex=-1
596
unit.0.0.port.-1.s.40.visible=0
597
unit.0.0.port.-1.s.41.alias=
598
unit.0.0.port.-1.s.41.color=java.awt.Color[r\=0,g\=0,b\=124]
599
unit.0.0.port.-1.s.41.name=/GECKO3COM_simple_1/s_send_mux_sel<2>
600
unit.0.0.port.-1.s.41.orderindex=-1
601
unit.0.0.port.-1.s.41.visible=0
602
unit.0.0.port.-1.s.42.alias=
603
unit.0.0.port.-1.s.42.color=java.awt.Color[r\=0,g\=0,b\=124]
604
unit.0.0.port.-1.s.42.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd1
605
unit.0.0.port.-1.s.42.orderindex=-1
606
unit.0.0.port.-1.s.42.visible=0
607
unit.0.0.port.-1.s.43.alias=
608
unit.0.0.port.-1.s.43.color=java.awt.Color[r\=0,g\=0,b\=124]
609
unit.0.0.port.-1.s.43.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd2
610
unit.0.0.port.-1.s.43.orderindex=-1
611
unit.0.0.port.-1.s.43.visible=0
612
unit.0.0.port.-1.s.44.alias=
613
unit.0.0.port.-1.s.44.color=java.awt.Color[r\=0,g\=0,b\=124]
614
unit.0.0.port.-1.s.44.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd3
615
unit.0.0.port.-1.s.44.orderindex=-1
616
unit.0.0.port.-1.s.44.visible=0
617
unit.0.0.port.-1.s.45.alias=
618
unit.0.0.port.-1.s.45.color=java.awt.Color[r\=0,g\=0,b\=124]
619
unit.0.0.port.-1.s.45.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd4
620
unit.0.0.port.-1.s.45.orderindex=-1
621
unit.0.0.port.-1.s.45.visible=0
622
unit.0.0.port.-1.s.46.alias=
623
unit.0.0.port.-1.s.46.color=java.awt.Color[r\=0,g\=0,b\=124]
624
unit.0.0.port.-1.s.46.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd5
625
unit.0.0.port.-1.s.46.orderindex=-1
626
unit.0.0.port.-1.s.46.visible=0
627
unit.0.0.port.-1.s.47.alias=
628
unit.0.0.port.-1.s.47.color=java.awt.Color[r\=0,g\=0,b\=124]
629
unit.0.0.port.-1.s.47.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd6
630
unit.0.0.port.-1.s.47.orderindex=-1
631
unit.0.0.port.-1.s.47.visible=0
632
unit.0.0.port.-1.s.48.alias=
633
unit.0.0.port.-1.s.48.color=java.awt.Color[r\=0,g\=0,b\=124]
634
unit.0.0.port.-1.s.48.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd7
635
unit.0.0.port.-1.s.48.orderindex=-1
636
unit.0.0.port.-1.s.48.visible=0
637
unit.0.0.port.-1.s.49.alias=
638
unit.0.0.port.-1.s.49.color=java.awt.Color[r\=0,g\=0,b\=124]
639
unit.0.0.port.-1.s.49.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd8
640
unit.0.0.port.-1.s.49.orderindex=-1
641
unit.0.0.port.-1.s.49.visible=0
642
unit.0.0.port.-1.s.5.alias=
643
unit.0.0.port.-1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
644
unit.0.0.port.-1.s.5.name=/GECKO3COM_simple_1/o_receive_fifo_empty
645
unit.0.0.port.-1.s.5.orderindex=-1
646
unit.0.0.port.-1.s.5.visible=1
647
unit.0.0.port.-1.s.50.alias=
648
unit.0.0.port.-1.s.50.color=java.awt.Color[r\=0,g\=0,b\=124]
649
unit.0.0.port.-1.s.50.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd9
650
unit.0.0.port.-1.s.50.orderindex=-1
651
unit.0.0.port.-1.s.50.visible=0
652
unit.0.0.port.-1.s.51.alias=
653
unit.0.0.port.-1.s.51.color=java.awt.Color[r\=0,g\=0,b\=124]
654
unit.0.0.port.-1.s.51.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd10
655
unit.0.0.port.-1.s.51.orderindex=-1
656
unit.0.0.port.-1.s.51.visible=0
657
unit.0.0.port.-1.s.52.alias=
658
unit.0.0.port.-1.s.52.color=java.awt.Color[r\=0,g\=0,b\=124]
659
unit.0.0.port.-1.s.52.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd11
660
unit.0.0.port.-1.s.52.orderindex=-1
661
unit.0.0.port.-1.s.52.visible=0
662
unit.0.0.port.-1.s.53.alias=
663
unit.0.0.port.-1.s.53.color=java.awt.Color[r\=0,g\=0,b\=124]
664
unit.0.0.port.-1.s.53.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd12
665
unit.0.0.port.-1.s.53.orderindex=-1
666
unit.0.0.port.-1.s.53.visible=0
667
unit.0.0.port.-1.s.54.alias=
668
unit.0.0.port.-1.s.54.color=java.awt.Color[r\=0,g\=0,b\=124]
669
unit.0.0.port.-1.s.54.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd13
670
unit.0.0.port.-1.s.54.orderindex=-1
671
unit.0.0.port.-1.s.54.visible=0
672
unit.0.0.port.-1.s.55.alias=
673
unit.0.0.port.-1.s.55.color=java.awt.Color[r\=0,g\=0,b\=124]
674
unit.0.0.port.-1.s.55.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd14
675
unit.0.0.port.-1.s.55.orderindex=-1
676
unit.0.0.port.-1.s.55.visible=0
677
unit.0.0.port.-1.s.56.alias=
678
unit.0.0.port.-1.s.56.color=java.awt.Color[r\=0,g\=0,b\=124]
679
unit.0.0.port.-1.s.56.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd15
680
unit.0.0.port.-1.s.56.orderindex=-1
681
unit.0.0.port.-1.s.56.visible=0
682
unit.0.0.port.-1.s.57.alias=
683
unit.0.0.port.-1.s.57.color=java.awt.Color[r\=0,g\=0,b\=124]
684
unit.0.0.port.-1.s.57.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd16
685
unit.0.0.port.-1.s.57.orderindex=-1
686
unit.0.0.port.-1.s.57.visible=0
687
unit.0.0.port.-1.s.58.alias=
688
unit.0.0.port.-1.s.58.color=java.awt.Color[r\=0,g\=0,b\=124]
689
unit.0.0.port.-1.s.58.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd17
690
unit.0.0.port.-1.s.58.orderindex=-1
691
unit.0.0.port.-1.s.58.visible=0
692
unit.0.0.port.-1.s.59.alias=
693
unit.0.0.port.-1.s.59.color=java.awt.Color[r\=0,g\=0,b\=124]
694
unit.0.0.port.-1.s.59.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd18
695
unit.0.0.port.-1.s.59.orderindex=-1
696
unit.0.0.port.-1.s.59.visible=0
697
unit.0.0.port.-1.s.6.alias=
698
unit.0.0.port.-1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
699
unit.0.0.port.-1.s.6.name=/GECKO3COM_simple_1/o_receive_newdata
700
unit.0.0.port.-1.s.6.orderindex=-1
701
unit.0.0.port.-1.s.6.visible=1
702
unit.0.0.port.-1.s.60.alias=
703
unit.0.0.port.-1.s.60.color=java.awt.Color[r\=0,g\=0,b\=124]
704
unit.0.0.port.-1.s.60.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd19
705
unit.0.0.port.-1.s.60.orderindex=-1
706
unit.0.0.port.-1.s.60.visible=0
707
unit.0.0.port.-1.s.61.alias=
708
unit.0.0.port.-1.s.61.color=java.awt.Color[r\=0,g\=0,b\=124]
709
unit.0.0.port.-1.s.61.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd20
710
unit.0.0.port.-1.s.61.orderindex=-1
711
unit.0.0.port.-1.s.61.visible=0
712
unit.0.0.port.-1.s.62.alias=
713
unit.0.0.port.-1.s.62.color=java.awt.Color[r\=0,g\=0,b\=124]
714
unit.0.0.port.-1.s.62.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd21
715
unit.0.0.port.-1.s.62.orderindex=-1
716
unit.0.0.port.-1.s.62.visible=0
717
unit.0.0.port.-1.s.63.alias=
718
unit.0.0.port.-1.s.63.color=java.awt.Color[r\=0,g\=0,b\=124]
719
unit.0.0.port.-1.s.63.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd22
720
unit.0.0.port.-1.s.63.orderindex=-1
721
unit.0.0.port.-1.s.63.visible=0
722
unit.0.0.port.-1.s.64.alias=
723
unit.0.0.port.-1.s.64.color=java.awt.Color[r\=0,g\=0,b\=124]
724
unit.0.0.port.-1.s.64.name=/s_send_have_more_data
725
unit.0.0.port.-1.s.64.orderindex=-1
726
unit.0.0.port.-1.s.64.visible=0
727
unit.0.0.port.-1.s.65.alias=v_receive_fifo_empty_old
728
unit.0.0.port.-1.s.65.color=java.awt.Color[r\=0,g\=0,b\=124]
729
unit.0.0.port.-1.s.65.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/v_receive_fifo_empty_old
730
unit.0.0.port.-1.s.65.orderindex=-1
731
unit.0.0.port.-1.s.65.visible=1
732
unit.0.0.port.-1.s.66.alias=
733
unit.0.0.port.-1.s.66.color=java.awt.Color[r\=0,g\=0,b\=124]
734
unit.0.0.port.-1.s.66.name=/GECKO3COM_simple_1/o_receive_transfersize<31>
735
unit.0.0.port.-1.s.66.orderindex=-1
736
unit.0.0.port.-1.s.66.visible=1
737
unit.0.0.port.-1.s.67.alias=
738
unit.0.0.port.-1.s.67.color=java.awt.Color[r\=0,g\=0,b\=124]
739
unit.0.0.port.-1.s.67.name=/GECKO3COM_simple_1/o_receive_transfersize<3>
740
unit.0.0.port.-1.s.67.orderindex=-1
741
unit.0.0.port.-1.s.67.visible=1
742
unit.0.0.port.-1.s.68.alias=
743
unit.0.0.port.-1.s.68.color=java.awt.Color[r\=0,g\=0,b\=124]
744
unit.0.0.port.-1.s.68.name=/GECKO3COM_simple_1/o_receive_transfersize<4>
745
unit.0.0.port.-1.s.68.orderindex=-1
746
unit.0.0.port.-1.s.68.visible=1
747
unit.0.0.port.-1.s.69.alias=
748
unit.0.0.port.-1.s.69.color=java.awt.Color[r\=0,g\=0,b\=124]
749
unit.0.0.port.-1.s.69.name=/GECKO3COM_simple_1/o_receive_transfersize<5>
750
unit.0.0.port.-1.s.69.orderindex=-1
751
unit.0.0.port.-1.s.69.visible=1
752
unit.0.0.port.-1.s.7.alias=
753
unit.0.0.port.-1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
754
unit.0.0.port.-1.s.7.name=/GECKO3COM_simple_1/o_send_data_request
755
unit.0.0.port.-1.s.7.orderindex=-1
756
unit.0.0.port.-1.s.7.visible=1
757
unit.0.0.port.-1.s.70.alias=
758
unit.0.0.port.-1.s.70.color=java.awt.Color[r\=0,g\=0,b\=124]
759
unit.0.0.port.-1.s.70.name=/GECKO3COM_simple_1/o_receive_transfersize<6>
760
unit.0.0.port.-1.s.70.orderindex=-1
761
unit.0.0.port.-1.s.70.visible=1
762
unit.0.0.port.-1.s.71.alias=
763
unit.0.0.port.-1.s.71.color=java.awt.Color[r\=0,g\=0,b\=124]
764
unit.0.0.port.-1.s.71.name=/GECKO3COM_simple_1/o_receive_transfersize<7>
765
unit.0.0.port.-1.s.71.orderindex=-1
766
unit.0.0.port.-1.s.71.visible=1
767
unit.0.0.port.-1.s.72.alias=
768
unit.0.0.port.-1.s.72.color=java.awt.Color[r\=0,g\=0,b\=124]
769
unit.0.0.port.-1.s.72.name=/GECKO3COM_simple_1/o_receive_transfersize<8>
770
unit.0.0.port.-1.s.72.orderindex=-1
771
unit.0.0.port.-1.s.72.visible=1
772
unit.0.0.port.-1.s.73.alias=
773
unit.0.0.port.-1.s.73.color=java.awt.Color[r\=0,g\=0,b\=124]
774
unit.0.0.port.-1.s.73.name=/GECKO3COM_simple_1/o_receive_transfersize<9>
775
unit.0.0.port.-1.s.73.orderindex=-1
776
unit.0.0.port.-1.s.73.visible=1
777
unit.0.0.port.-1.s.74.alias=
778
unit.0.0.port.-1.s.74.color=java.awt.Color[r\=0,g\=0,b\=124]
779
unit.0.0.port.-1.s.74.name=/GECKO3COM_simple_1/s_gpif_rx_data<0>
780
unit.0.0.port.-1.s.74.orderindex=-1
781
unit.0.0.port.-1.s.74.visible=0
782
unit.0.0.port.-1.s.75.alias=
783
unit.0.0.port.-1.s.75.color=java.awt.Color[r\=0,g\=0,b\=124]
784
unit.0.0.port.-1.s.75.name=/GECKO3COM_simple_1/s_gpif_rx_data<10>
785
unit.0.0.port.-1.s.75.orderindex=-1
786
unit.0.0.port.-1.s.75.visible=0
787
unit.0.0.port.-1.s.76.alias=
788
unit.0.0.port.-1.s.76.color=java.awt.Color[r\=0,g\=0,b\=124]
789
unit.0.0.port.-1.s.76.name=/GECKO3COM_simple_1/s_gpif_rx_data<11>
790
unit.0.0.port.-1.s.76.orderindex=-1
791
unit.0.0.port.-1.s.76.visible=0
792
unit.0.0.port.-1.s.77.alias=
793
unit.0.0.port.-1.s.77.color=java.awt.Color[r\=0,g\=0,b\=124]
794
unit.0.0.port.-1.s.77.name=/GECKO3COM_simple_1/s_gpif_rx_data<12>
795
unit.0.0.port.-1.s.77.orderindex=-1
796
unit.0.0.port.-1.s.77.visible=0
797
unit.0.0.port.-1.s.78.alias=
798
unit.0.0.port.-1.s.78.color=java.awt.Color[r\=0,g\=0,b\=124]
799
unit.0.0.port.-1.s.78.name=/GECKO3COM_simple_1/s_gpif_rx_data<13>
800
unit.0.0.port.-1.s.78.orderindex=-1
801
unit.0.0.port.-1.s.78.visible=0
802
unit.0.0.port.-1.s.79.alias=
803
unit.0.0.port.-1.s.79.color=java.awt.Color[r\=0,g\=0,b\=124]
804
unit.0.0.port.-1.s.79.name=/GECKO3COM_simple_1/s_gpif_rx_data<14>
805
unit.0.0.port.-1.s.79.orderindex=-1
806
unit.0.0.port.-1.s.79.visible=0
807
unit.0.0.port.-1.s.8.alias=
808
unit.0.0.port.-1.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
809
unit.0.0.port.-1.s.8.name=/GECKO3COM_simple_1/o_send_fifo_full
810
unit.0.0.port.-1.s.8.orderindex=-1
811
unit.0.0.port.-1.s.8.visible=1
812
unit.0.0.port.-1.s.80.alias=
813
unit.0.0.port.-1.s.80.color=java.awt.Color[r\=0,g\=0,b\=124]
814
unit.0.0.port.-1.s.80.name=/GECKO3COM_simple_1/s_gpif_rx_data<15>
815
unit.0.0.port.-1.s.80.orderindex=-1
816
unit.0.0.port.-1.s.80.visible=0
817
unit.0.0.port.-1.s.81.alias=
818
unit.0.0.port.-1.s.81.color=java.awt.Color[r\=0,g\=0,b\=124]
819
unit.0.0.port.-1.s.81.name=/GECKO3COM_simple_1/s_gpif_rx_data<1>
820
unit.0.0.port.-1.s.81.orderindex=-1
821
unit.0.0.port.-1.s.81.visible=0
822
unit.0.0.port.-1.s.82.alias=
823
unit.0.0.port.-1.s.82.color=java.awt.Color[r\=0,g\=0,b\=124]
824
unit.0.0.port.-1.s.82.name=/GECKO3COM_simple_1/s_gpif_rx_data<2>
825
unit.0.0.port.-1.s.82.orderindex=-1
826
unit.0.0.port.-1.s.82.visible=0
827
unit.0.0.port.-1.s.83.alias=
828
unit.0.0.port.-1.s.83.color=java.awt.Color[r\=0,g\=0,b\=124]
829
unit.0.0.port.-1.s.83.name=/GECKO3COM_simple_1/s_gpif_rx_data<3>
830
unit.0.0.port.-1.s.83.orderindex=-1
831
unit.0.0.port.-1.s.83.visible=0
832
unit.0.0.port.-1.s.84.alias=
833
unit.0.0.port.-1.s.84.color=java.awt.Color[r\=0,g\=0,b\=124]
834
unit.0.0.port.-1.s.84.name=/GECKO3COM_simple_1/s_gpif_rx_data<4>
835
unit.0.0.port.-1.s.84.orderindex=-1
836
unit.0.0.port.-1.s.84.visible=0
837
unit.0.0.port.-1.s.85.alias=
838
unit.0.0.port.-1.s.85.color=java.awt.Color[r\=0,g\=0,b\=124]
839
unit.0.0.port.-1.s.85.name=/GECKO3COM_simple_1/s_gpif_rx_data<5>
840
unit.0.0.port.-1.s.85.orderindex=-1
841
unit.0.0.port.-1.s.85.visible=0
842
unit.0.0.port.-1.s.86.alias=
843
unit.0.0.port.-1.s.86.color=java.awt.Color[r\=0,g\=0,b\=124]
844
unit.0.0.port.-1.s.86.name=/GECKO3COM_simple_1/s_gpif_rx_data<6>
845
unit.0.0.port.-1.s.86.orderindex=-1
846
unit.0.0.port.-1.s.86.visible=0
847
unit.0.0.port.-1.s.87.alias=
848
unit.0.0.port.-1.s.87.color=java.awt.Color[r\=0,g\=0,b\=124]
849
unit.0.0.port.-1.s.87.name=/GECKO3COM_simple_1/s_gpif_rx_data<7>
850
unit.0.0.port.-1.s.87.orderindex=-1
851
unit.0.0.port.-1.s.87.visible=0
852
unit.0.0.port.-1.s.88.alias=
853
unit.0.0.port.-1.s.88.color=java.awt.Color[r\=0,g\=0,b\=124]
854
unit.0.0.port.-1.s.88.name=/GECKO3COM_simple_1/s_gpif_rx_data<8>
855
unit.0.0.port.-1.s.88.orderindex=-1
856
unit.0.0.port.-1.s.88.visible=0
857
unit.0.0.port.-1.s.89.alias=
858
unit.0.0.port.-1.s.89.color=java.awt.Color[r\=0,g\=0,b\=124]
859
unit.0.0.port.-1.s.89.name=/GECKO3COM_simple_1/s_gpif_rx_data<9>
860
unit.0.0.port.-1.s.89.orderindex=-1
861
unit.0.0.port.-1.s.89.visible=0
862
unit.0.0.port.-1.s.9.alias=
863
unit.0.0.port.-1.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
864
unit.0.0.port.-1.s.9.name=/GECKO3COM_simple_1/o_send_finished
865
unit.0.0.port.-1.s.9.orderindex=-1
866
unit.0.0.port.-1.s.9.visible=1
867
unit.0.0.port.-1.s.90.alias=
868
unit.0.0.port.-1.s.90.color=java.awt.Color[r\=0,g\=0,b\=124]
869
unit.0.0.port.-1.s.90.name=/GECKO3COM_simple_1/o_receive_transfersize<0>
870
unit.0.0.port.-1.s.90.orderindex=-1
871
unit.0.0.port.-1.s.90.visible=1
872
unit.0.0.port.-1.s.91.alias=
873
unit.0.0.port.-1.s.91.color=java.awt.Color[r\=0,g\=0,b\=124]
874
unit.0.0.port.-1.s.91.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_0
875
unit.0.0.port.-1.s.91.orderindex=-1
876
unit.0.0.port.-1.s.91.visible=0
877
unit.0.0.port.-1.s.92.alias=
878
unit.0.0.port.-1.s.92.color=java.awt.Color[r\=0,g\=0,b\=124]
879
unit.0.0.port.-1.s.92.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_1
880
unit.0.0.port.-1.s.92.orderindex=-1
881
unit.0.0.port.-1.s.92.visible=0
882
unit.0.0.port.-1.s.93.alias=
883
unit.0.0.port.-1.s.93.color=java.awt.Color[r\=0,g\=0,b\=124]
884
unit.0.0.port.-1.s.93.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_2
885
unit.0.0.port.-1.s.93.orderindex=-1
886
unit.0.0.port.-1.s.93.visible=0
887
unit.0.0.port.-1.s.94.alias=
888
unit.0.0.port.-1.s.94.color=java.awt.Color[r\=0,g\=0,b\=124]
889
unit.0.0.port.-1.s.94.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_3
890
unit.0.0.port.-1.s.94.orderindex=-1
891
unit.0.0.port.-1.s.94.visible=0
892
unit.0.0.port.-1.s.95.alias=
893
unit.0.0.port.-1.s.95.color=java.awt.Color[r\=0,g\=0,b\=124]
894
unit.0.0.port.-1.s.95.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_4
895
unit.0.0.port.-1.s.95.orderindex=-1
896
unit.0.0.port.-1.s.95.visible=0
897
unit.0.0.port.-1.s.96.alias=
898
unit.0.0.port.-1.s.96.color=java.awt.Color[r\=0,g\=0,b\=124]
899
unit.0.0.port.-1.s.96.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_5
900
unit.0.0.port.-1.s.96.orderindex=-1
901
unit.0.0.port.-1.s.96.visible=0
902
unit.0.0.port.-1.s.97.alias=
903
unit.0.0.port.-1.s.97.color=java.awt.Color[r\=0,g\=0,b\=124]
904
unit.0.0.port.-1.s.97.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_6
905
unit.0.0.port.-1.s.97.orderindex=-1
906
unit.0.0.port.-1.s.97.visible=0
907
unit.0.0.port.-1.s.98.alias=
908
unit.0.0.port.-1.s.98.color=java.awt.Color[r\=0,g\=0,b\=124]
909
unit.0.0.port.-1.s.98.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_7
910
unit.0.0.port.-1.s.98.orderindex=-1
911
unit.0.0.port.-1.s.98.visible=0
912
unit.0.0.port.-1.s.99.alias=
913
unit.0.0.port.-1.s.99.color=java.awt.Color[r\=0,g\=0,b\=124]
914
unit.0.0.port.-1.s.99.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_8
915
unit.0.0.port.-1.s.99.orderindex=-1
916
unit.0.0.port.-1.s.99.visible=0
917
unit.0.0.port.0.b.0.alias=
918
unit.0.0.port.0.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11
919
unit.0.0.port.0.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
920
unit.0.0.port.0.b.0.name=TriggerPort0
921
unit.0.0.port.0.b.0.orderindex=-1
922
unit.0.0.port.0.b.0.radix=Hex
923
unit.0.0.port.0.b.0.signedOffset=0.0
924
unit.0.0.port.0.b.0.signedPrecision=0
925
unit.0.0.port.0.b.0.signedScaleFactor=1.0
926
unit.0.0.port.0.b.0.unsignedOffset=0.0
927
unit.0.0.port.0.b.0.unsignedPrecision=0
928
unit.0.0.port.0.b.0.unsignedScaleFactor=1.0
929
unit.0.0.port.0.b.0.visible=1
930
unit.0.0.port.0.buscount=1
931
unit.0.0.port.0.channelcount=12
932
unit.0.0.port.0.s.0.alias=
933
unit.0.0.port.0.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
934
unit.0.0.port.0.s.0.name=/GECKO3COM_simple_1/s_dev_dep_msg_out
935
unit.0.0.port.0.s.0.orderindex=-1
936
unit.0.0.port.0.s.0.visible=1
937
unit.0.0.port.0.s.1.alias=
938
unit.0.0.port.0.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
939
unit.0.0.port.0.s.1.name=/GECKO3COM_simple_1/s_btag_correct
940
unit.0.0.port.0.s.1.orderindex=-1
941
unit.0.0.port.0.s.1.visible=1
942
unit.0.0.port.0.s.10.alias=
943
unit.0.0.port.0.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
944
unit.0.0.port.0.s.10.name=/s_send_transfersize_en
945
unit.0.0.port.0.s.10.orderindex=-1
946
unit.0.0.port.0.s.10.visible=1
947
unit.0.0.port.0.s.11.alias=
948
unit.0.0.port.0.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
949
unit.0.0.port.0.s.11.name=/s_transfer_size_reg_en
950
unit.0.0.port.0.s.11.orderindex=-1
951
unit.0.0.port.0.s.11.visible=1
952
unit.0.0.port.0.s.2.alias=
953
unit.0.0.port.0.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
954
unit.0.0.port.0.s.2.name=/GECKO3COM_simple_1/s_gpif_rx_empty
955
unit.0.0.port.0.s.2.orderindex=-1
956
unit.0.0.port.0.s.2.visible=1
957
unit.0.0.port.0.s.3.alias=
958
unit.0.0.port.0.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
959
unit.0.0.port.0.s.3.name=/GECKO3COM_simple_1/s_gpif_rx_rd_en
960
unit.0.0.port.0.s.3.orderindex=-1
961
unit.0.0.port.0.s.3.visible=1
962
unit.0.0.port.0.s.4.alias=
963
unit.0.0.port.0.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
964
unit.0.0.port.0.s.4.name=/GECKO3COM_simple_1/s_btag_reg_en
965
unit.0.0.port.0.s.4.orderindex=-1
966
unit.0.0.port.0.s.4.visible=1
967
unit.0.0.port.0.s.5.alias=
968
unit.0.0.port.0.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
969
unit.0.0.port.0.s.5.name=/GECKO3COM_simple_1/s_gpif_abort
970
unit.0.0.port.0.s.5.orderindex=-1
971
unit.0.0.port.0.s.5.visible=1
972
unit.0.0.port.0.s.6.alias=
973
unit.0.0.port.0.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
974
unit.0.0.port.0.s.6.name=/s_send_data_request
975
unit.0.0.port.0.s.6.orderindex=-1
976
unit.0.0.port.0.s.6.visible=1
977
unit.0.0.port.0.s.7.alias=
978
unit.0.0.port.0.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
979
unit.0.0.port.0.s.7.name=/s_send_fifo_full
980
unit.0.0.port.0.s.7.orderindex=-1
981
unit.0.0.port.0.s.7.visible=1
982
unit.0.0.port.0.s.8.alias=
983
unit.0.0.port.0.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
984
unit.0.0.port.0.s.8.name=/s_send_fifo_wr_en
985
unit.0.0.port.0.s.8.orderindex=-1
986
unit.0.0.port.0.s.8.visible=1
987
unit.0.0.port.0.s.9.alias=
988
unit.0.0.port.0.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
989
unit.0.0.port.0.s.9.name=/s_send_have_more_data
990
unit.0.0.port.0.s.9.orderindex=-1
991
unit.0.0.port.0.s.9.visible=1
992
unit.0.0.portcount=1
993
unit.0.0.samplesPerTrigger=1
994
unit.0.0.triggerCapture=1
995
unit.0.0.triggerNSamplesTS=0
996
unit.0.0.triggerPosition=100
997
unit.0.0.triggerWindowCount=1
998
unit.0.0.triggerWindowDepth=512
999
unit.0.0.triggerWindowTS=0
1000
unit.0.0.username=MyILA0
1001
unit.0.0.waveform.count=46
1002
unit.0.0.waveform.posn.0.channel=0
1003
unit.0.0.waveform.posn.0.name=/GECKO3COM_simple_1/i_nReset
1004
unit.0.0.waveform.posn.0.type=signal
1005
unit.0.0.waveform.posn.1.channel=1
1006
unit.0.0.waveform.posn.1.name=/GECKO3COM_simple_1/i_receive_fifo_rd_en
1007
unit.0.0.waveform.posn.1.type=signal
1008
unit.0.0.waveform.posn.10.channel=10
1009
unit.0.0.waveform.posn.10.name=/GECKO3COM_simple_1/s_btag_correct
1010
unit.0.0.waveform.posn.10.type=signal
1011
unit.0.0.waveform.posn.100.channel=145
1012
unit.0.0.waveform.posn.100.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1013
unit.0.0.waveform.posn.100.type=signal
1014
unit.0.0.waveform.posn.101.channel=145
1015
unit.0.0.waveform.posn.101.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1016
unit.0.0.waveform.posn.101.type=signal
1017
unit.0.0.waveform.posn.102.channel=145
1018
unit.0.0.waveform.posn.102.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1019
unit.0.0.waveform.posn.102.type=signal
1020
unit.0.0.waveform.posn.103.channel=145
1021
unit.0.0.waveform.posn.103.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1022
unit.0.0.waveform.posn.103.type=signal
1023
unit.0.0.waveform.posn.104.channel=145
1024
unit.0.0.waveform.posn.104.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1025
unit.0.0.waveform.posn.104.type=signal
1026
unit.0.0.waveform.posn.105.channel=145
1027
unit.0.0.waveform.posn.105.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1028
unit.0.0.waveform.posn.105.type=signal
1029
unit.0.0.waveform.posn.106.channel=145
1030
unit.0.0.waveform.posn.106.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1031
unit.0.0.waveform.posn.106.type=signal
1032
unit.0.0.waveform.posn.107.channel=145
1033
unit.0.0.waveform.posn.107.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1034
unit.0.0.waveform.posn.107.type=signal
1035
unit.0.0.waveform.posn.108.channel=145
1036
unit.0.0.waveform.posn.108.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1037
unit.0.0.waveform.posn.108.type=signal
1038
unit.0.0.waveform.posn.109.channel=145
1039
unit.0.0.waveform.posn.109.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1040
unit.0.0.waveform.posn.109.type=signal
1041
unit.0.0.waveform.posn.11.channel=11
1042
unit.0.0.waveform.posn.11.name=/GECKO3COM_simple_1/s_btag_reg_en
1043
unit.0.0.waveform.posn.11.type=signal
1044
unit.0.0.waveform.posn.110.channel=145
1045
unit.0.0.waveform.posn.110.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1046
unit.0.0.waveform.posn.110.type=signal
1047
unit.0.0.waveform.posn.111.channel=145
1048
unit.0.0.waveform.posn.111.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1049
unit.0.0.waveform.posn.111.type=signal
1050
unit.0.0.waveform.posn.112.channel=145
1051
unit.0.0.waveform.posn.112.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1052
unit.0.0.waveform.posn.112.type=signal
1053
unit.0.0.waveform.posn.113.channel=145
1054
unit.0.0.waveform.posn.113.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1055
unit.0.0.waveform.posn.113.type=signal
1056
unit.0.0.waveform.posn.114.channel=145
1057
unit.0.0.waveform.posn.114.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1058
unit.0.0.waveform.posn.114.type=signal
1059
unit.0.0.waveform.posn.115.channel=145
1060
unit.0.0.waveform.posn.115.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1061
unit.0.0.waveform.posn.115.type=signal
1062
unit.0.0.waveform.posn.116.channel=145
1063
unit.0.0.waveform.posn.116.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1064
unit.0.0.waveform.posn.116.type=signal
1065
unit.0.0.waveform.posn.117.channel=145
1066
unit.0.0.waveform.posn.117.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1067
unit.0.0.waveform.posn.117.type=signal
1068
unit.0.0.waveform.posn.118.channel=145
1069
unit.0.0.waveform.posn.118.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1070
unit.0.0.waveform.posn.118.type=signal
1071
unit.0.0.waveform.posn.119.channel=145
1072
unit.0.0.waveform.posn.119.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1073
unit.0.0.waveform.posn.119.type=signal
1074
unit.0.0.waveform.posn.12.channel=12
1075
unit.0.0.waveform.posn.12.name=/GECKO3COM_simple_1/s_dev_dep_msg_out
1076
unit.0.0.waveform.posn.12.type=signal
1077
unit.0.0.waveform.posn.120.channel=145
1078
unit.0.0.waveform.posn.120.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1079
unit.0.0.waveform.posn.120.type=signal
1080
unit.0.0.waveform.posn.121.channel=145
1081
unit.0.0.waveform.posn.121.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1082
unit.0.0.waveform.posn.121.type=signal
1083
unit.0.0.waveform.posn.122.channel=145
1084
unit.0.0.waveform.posn.122.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1085
unit.0.0.waveform.posn.122.type=signal
1086
unit.0.0.waveform.posn.123.channel=145
1087
unit.0.0.waveform.posn.123.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1088
unit.0.0.waveform.posn.123.type=signal
1089
unit.0.0.waveform.posn.124.channel=145
1090
unit.0.0.waveform.posn.124.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1091
unit.0.0.waveform.posn.124.type=signal
1092
unit.0.0.waveform.posn.125.channel=145
1093
unit.0.0.waveform.posn.125.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1094
unit.0.0.waveform.posn.125.type=signal
1095
unit.0.0.waveform.posn.126.channel=145
1096
unit.0.0.waveform.posn.126.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1097
unit.0.0.waveform.posn.126.type=signal
1098
unit.0.0.waveform.posn.127.channel=145
1099
unit.0.0.waveform.posn.127.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1100
unit.0.0.waveform.posn.127.type=signal
1101
unit.0.0.waveform.posn.128.channel=145
1102
unit.0.0.waveform.posn.128.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1103
unit.0.0.waveform.posn.128.type=signal
1104
unit.0.0.waveform.posn.129.channel=145
1105
unit.0.0.waveform.posn.129.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1106
unit.0.0.waveform.posn.129.type=signal
1107
unit.0.0.waveform.posn.13.channel=13
1108
unit.0.0.waveform.posn.13.name=/GECKO3COM_simple_1/s_eom_bit_detected
1109
unit.0.0.waveform.posn.13.type=signal
1110
unit.0.0.waveform.posn.130.channel=145
1111
unit.0.0.waveform.posn.130.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1112
unit.0.0.waveform.posn.130.type=signal
1113
unit.0.0.waveform.posn.131.channel=145
1114
unit.0.0.waveform.posn.131.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1115
unit.0.0.waveform.posn.131.type=signal
1116
unit.0.0.waveform.posn.132.channel=145
1117
unit.0.0.waveform.posn.132.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1118
unit.0.0.waveform.posn.132.type=signal
1119
unit.0.0.waveform.posn.133.channel=145
1120
unit.0.0.waveform.posn.133.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1121
unit.0.0.waveform.posn.133.type=signal
1122
unit.0.0.waveform.posn.134.channel=145
1123
unit.0.0.waveform.posn.134.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1124
unit.0.0.waveform.posn.134.type=signal
1125
unit.0.0.waveform.posn.135.channel=145
1126
unit.0.0.waveform.posn.135.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1127
unit.0.0.waveform.posn.135.type=signal
1128
unit.0.0.waveform.posn.136.channel=145
1129
unit.0.0.waveform.posn.136.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1130
unit.0.0.waveform.posn.136.type=signal
1131
unit.0.0.waveform.posn.137.channel=145
1132
unit.0.0.waveform.posn.137.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1133
unit.0.0.waveform.posn.137.type=signal
1134
unit.0.0.waveform.posn.138.channel=145
1135
unit.0.0.waveform.posn.138.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1136
unit.0.0.waveform.posn.138.type=signal
1137
unit.0.0.waveform.posn.139.channel=145
1138
unit.0.0.waveform.posn.139.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1139
unit.0.0.waveform.posn.139.type=signal
1140
unit.0.0.waveform.posn.14.channel=14
1141
unit.0.0.waveform.posn.14.name=/GECKO3COM_simple_1/s_gpif_abort
1142
unit.0.0.waveform.posn.14.type=signal
1143
unit.0.0.waveform.posn.140.channel=145
1144
unit.0.0.waveform.posn.140.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1145
unit.0.0.waveform.posn.140.type=signal
1146
unit.0.0.waveform.posn.141.channel=145
1147
unit.0.0.waveform.posn.141.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1148
unit.0.0.waveform.posn.141.type=signal
1149
unit.0.0.waveform.posn.142.channel=145
1150
unit.0.0.waveform.posn.142.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1151
unit.0.0.waveform.posn.142.type=signal
1152
unit.0.0.waveform.posn.143.channel=145
1153
unit.0.0.waveform.posn.143.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1154
unit.0.0.waveform.posn.143.type=signal
1155
unit.0.0.waveform.posn.144.channel=145
1156
unit.0.0.waveform.posn.144.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1157
unit.0.0.waveform.posn.144.type=signal
1158
unit.0.0.waveform.posn.145.channel=145
1159
unit.0.0.waveform.posn.145.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1160
unit.0.0.waveform.posn.145.type=signal
1161
unit.0.0.waveform.posn.15.channel=15
1162
unit.0.0.waveform.posn.15.name=/GECKO3COM_simple_1/s_gpif_eom
1163
unit.0.0.waveform.posn.15.type=signal
1164
unit.0.0.waveform.posn.16.channel=16
1165
unit.0.0.waveform.posn.16.name=/GECKO3COM_simple_1/s_gpif_rx_empty
1166
unit.0.0.waveform.posn.16.type=signal
1167
unit.0.0.waveform.posn.17.channel=17
1168
unit.0.0.waveform.posn.17.name=/GECKO3COM_simple_1/s_gpif_rx_rd_en
1169
unit.0.0.waveform.posn.17.type=signal
1170
unit.0.0.waveform.posn.18.channel=18
1171
unit.0.0.waveform.posn.18.name=/GECKO3COM_simple_1/s_gpif_tx_full
1172
unit.0.0.waveform.posn.18.type=signal
1173
unit.0.0.waveform.posn.19.channel=19
1174
unit.0.0.waveform.posn.19.name=/GECKO3COM_simple_1/s_gpif_tx_wr_en
1175
unit.0.0.waveform.posn.19.type=signal
1176
unit.0.0.waveform.posn.2.channel=2
1177
unit.0.0.waveform.posn.2.name=/GECKO3COM_simple_1/i_send_fifo_wr_en
1178
unit.0.0.waveform.posn.2.type=signal
1179
unit.0.0.waveform.posn.20.channel=20
1180
unit.0.0.waveform.posn.20.name=/GECKO3COM_simple_1/s_nbtag_reg_en
1181
unit.0.0.waveform.posn.20.type=signal
1182
unit.0.0.waveform.posn.21.channel=21
1183
unit.0.0.waveform.posn.21.name=/GECKO3COM_simple_1/s_receive_counter_en
1184
unit.0.0.waveform.posn.21.type=signal
1185
unit.0.0.waveform.posn.22.channel=22
1186
unit.0.0.waveform.posn.22.name=/GECKO3COM_simple_1/s_receive_counter_load
1187
unit.0.0.waveform.posn.22.type=signal
1188
unit.0.0.waveform.posn.23.channel=23
1189
unit.0.0.waveform.posn.23.name=/GECKO3COM_simple_1/s_receive_counter_zero
1190
unit.0.0.waveform.posn.23.type=signal
1191
unit.0.0.waveform.posn.24.channel=24
1192
unit.0.0.waveform.posn.24.name=/GECKO3COM_simple_1/s_receive_end_of_message_set
1193
unit.0.0.waveform.posn.24.type=signal
1194
unit.0.0.waveform.posn.25.channel=25
1195
unit.0.0.waveform.posn.25.name=/GECKO3COM_simple_1/s_receive_fifo_full
1196
unit.0.0.waveform.posn.25.type=signal
1197
unit.0.0.waveform.posn.26.channel=65
1198
unit.0.0.waveform.posn.26.name=v_receive_fifo_empty_old
1199
unit.0.0.waveform.posn.26.type=signal
1200
unit.0.0.waveform.posn.27.channel=26
1201
unit.0.0.waveform.posn.27.name=/GECKO3COM_simple_1/s_receive_fifo_reset
1202
unit.0.0.waveform.posn.27.type=signal
1203
unit.0.0.waveform.posn.28.channel=27
1204
unit.0.0.waveform.posn.28.name=/GECKO3COM_simple_1/s_receive_fifo_wr_en
1205
unit.0.0.waveform.posn.28.type=signal
1206
unit.0.0.waveform.posn.29.channel=28
1207
unit.0.0.waveform.posn.29.name=/GECKO3COM_simple_1/s_receive_newdata_set
1208
unit.0.0.waveform.posn.29.type=signal
1209
unit.0.0.waveform.posn.3.channel=3
1210
unit.0.0.waveform.posn.3.name=/GECKO3COM_simple_1/i_send_have_more_data
1211
unit.0.0.waveform.posn.3.type=signal
1212
unit.0.0.waveform.posn.30.channel=31
1213
unit.0.0.waveform.posn.30.name=/GECKO3COM_simple_1/s_request_dev_dep_msg_in
1214
unit.0.0.waveform.posn.30.type=signal
1215
unit.0.0.waveform.posn.31.channel=32
1216
unit.0.0.waveform.posn.31.name=/GECKO3COM_simple_1/s_send_counter_en
1217
unit.0.0.waveform.posn.31.type=signal
1218
unit.0.0.waveform.posn.32.channel=33
1219
unit.0.0.waveform.posn.32.name=/GECKO3COM_simple_1/s_send_counter_load
1220
unit.0.0.waveform.posn.32.type=signal
1221
unit.0.0.waveform.posn.33.channel=34
1222
unit.0.0.waveform.posn.33.name=/GECKO3COM_simple_1/s_send_counter_zero
1223
unit.0.0.waveform.posn.33.type=signal
1224
unit.0.0.waveform.posn.34.channel=35
1225
unit.0.0.waveform.posn.34.name=/GECKO3COM_simple_1/s_send_data_request_set
1226
unit.0.0.waveform.posn.34.type=signal
1227
unit.0.0.waveform.posn.35.channel=36
1228
unit.0.0.waveform.posn.35.name=/GECKO3COM_simple_1/s_send_fifo_empty
1229
unit.0.0.waveform.posn.35.type=signal
1230
unit.0.0.waveform.posn.36.channel=37
1231
unit.0.0.waveform.posn.36.name=/GECKO3COM_simple_1/s_send_fifo_rd_en
1232
unit.0.0.waveform.posn.36.type=signal
1233
unit.0.0.waveform.posn.37.channel=38
1234
unit.0.0.waveform.posn.37.name=/GECKO3COM_simple_1/s_send_fifo_reset
1235
unit.0.0.waveform.posn.37.radix=1
1236
unit.0.0.waveform.posn.37.type=signal
1237
unit.0.0.waveform.posn.38.channel=2147483646
1238
unit.0.0.waveform.posn.38.name=s_btag
1239
unit.0.0.waveform.posn.38.radix=1
1240
unit.0.0.waveform.posn.38.type=bus
1241
unit.0.0.waveform.posn.39.channel=2147483646
1242
unit.0.0.waveform.posn.39.name=s_msg_id
1243
unit.0.0.waveform.posn.39.radix=1
1244
unit.0.0.waveform.posn.39.type=bus
1245
unit.0.0.waveform.posn.4.channel=4
1246
unit.0.0.waveform.posn.4.name=/GECKO3COM_simple_1/o_receive_end_of_message
1247
unit.0.0.waveform.posn.4.type=signal
1248
unit.0.0.waveform.posn.40.channel=2147483646
1249
unit.0.0.waveform.posn.40.name=s_nbtag
1250
unit.0.0.waveform.posn.40.radix=1
1251
unit.0.0.waveform.posn.40.type=bus
1252
unit.0.0.waveform.posn.41.channel=2147483646
1253
unit.0.0.waveform.posn.41.name=GECKO3COM_simple_fsm_1/state_FSM_FFd1
1254
unit.0.0.waveform.posn.41.radix=1
1255
unit.0.0.waveform.posn.41.type=bus
1256
unit.0.0.waveform.posn.42.channel=2147483646
1257
unit.0.0.waveform.posn.42.name=/GECKO3COM_simple_1/s_gpif_rx_data
1258
unit.0.0.waveform.posn.42.radix=1
1259
unit.0.0.waveform.posn.42.type=bus
1260
unit.0.0.waveform.posn.43.channel=2147483646
1261
unit.0.0.waveform.posn.43.name=s_receive_transfersize_en
1262
unit.0.0.waveform.posn.43.radix=1
1263
unit.0.0.waveform.posn.43.type=bus
1264
unit.0.0.waveform.posn.44.channel=2147483646
1265
unit.0.0.waveform.posn.44.name=/GECKO3COM_simple_1/s_send_mux_sel
1266
unit.0.0.waveform.posn.44.radix=1
1267
unit.0.0.waveform.posn.44.type=bus
1268
unit.0.0.waveform.posn.45.channel=2147483646
1269
unit.0.0.waveform.posn.45.name=Mcount_s_receive_transfersize_count_eqn
1270
unit.0.0.waveform.posn.45.radix=1
1271
unit.0.0.waveform.posn.45.type=bus
1272
unit.0.0.waveform.posn.46.channel=2147483646
1273
unit.0.0.waveform.posn.46.name=Mcount_s_receive_transfersize_count_eqn
1274
unit.0.0.waveform.posn.46.radix=1
1275
unit.0.0.waveform.posn.46.type=bus
1276
unit.0.0.waveform.posn.47.channel=145
1277
unit.0.0.waveform.posn.47.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1278
unit.0.0.waveform.posn.47.type=signal
1279
unit.0.0.waveform.posn.48.channel=145
1280
unit.0.0.waveform.posn.48.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1281
unit.0.0.waveform.posn.48.type=signal
1282
unit.0.0.waveform.posn.49.channel=145
1283
unit.0.0.waveform.posn.49.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1284
unit.0.0.waveform.posn.49.type=signal
1285
unit.0.0.waveform.posn.5.channel=5
1286
unit.0.0.waveform.posn.5.name=/GECKO3COM_simple_1/o_receive_fifo_empty
1287
unit.0.0.waveform.posn.5.type=signal
1288
unit.0.0.waveform.posn.50.channel=145
1289
unit.0.0.waveform.posn.50.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1290
unit.0.0.waveform.posn.50.type=signal
1291
unit.0.0.waveform.posn.51.channel=145
1292
unit.0.0.waveform.posn.51.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1293
unit.0.0.waveform.posn.51.type=signal
1294
unit.0.0.waveform.posn.52.channel=145
1295
unit.0.0.waveform.posn.52.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1296
unit.0.0.waveform.posn.52.type=signal
1297
unit.0.0.waveform.posn.53.channel=145
1298
unit.0.0.waveform.posn.53.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1299
unit.0.0.waveform.posn.53.type=signal
1300
unit.0.0.waveform.posn.54.channel=145
1301
unit.0.0.waveform.posn.54.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1302
unit.0.0.waveform.posn.54.type=signal
1303
unit.0.0.waveform.posn.55.channel=145
1304
unit.0.0.waveform.posn.55.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1305
unit.0.0.waveform.posn.55.type=signal
1306
unit.0.0.waveform.posn.56.channel=145
1307
unit.0.0.waveform.posn.56.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1308
unit.0.0.waveform.posn.56.type=signal
1309
unit.0.0.waveform.posn.57.channel=145
1310
unit.0.0.waveform.posn.57.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1311
unit.0.0.waveform.posn.57.type=signal
1312
unit.0.0.waveform.posn.58.channel=145
1313
unit.0.0.waveform.posn.58.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1314
unit.0.0.waveform.posn.58.type=signal
1315
unit.0.0.waveform.posn.59.channel=145
1316
unit.0.0.waveform.posn.59.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1317
unit.0.0.waveform.posn.59.type=signal
1318
unit.0.0.waveform.posn.6.channel=6
1319
unit.0.0.waveform.posn.6.name=/GECKO3COM_simple_1/o_receive_newdata
1320
unit.0.0.waveform.posn.6.type=signal
1321
unit.0.0.waveform.posn.60.channel=145
1322
unit.0.0.waveform.posn.60.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1323
unit.0.0.waveform.posn.60.type=signal
1324
unit.0.0.waveform.posn.61.channel=145
1325
unit.0.0.waveform.posn.61.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1326
unit.0.0.waveform.posn.61.type=signal
1327
unit.0.0.waveform.posn.62.channel=145
1328
unit.0.0.waveform.posn.62.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1329
unit.0.0.waveform.posn.62.type=signal
1330
unit.0.0.waveform.posn.63.channel=145
1331
unit.0.0.waveform.posn.63.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1332
unit.0.0.waveform.posn.63.type=signal
1333
unit.0.0.waveform.posn.64.channel=145
1334
unit.0.0.waveform.posn.64.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1335
unit.0.0.waveform.posn.64.type=signal
1336
unit.0.0.waveform.posn.65.channel=145
1337
unit.0.0.waveform.posn.65.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1338
unit.0.0.waveform.posn.65.type=signal
1339
unit.0.0.waveform.posn.66.channel=145
1340
unit.0.0.waveform.posn.66.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1341
unit.0.0.waveform.posn.66.type=signal
1342
unit.0.0.waveform.posn.67.channel=145
1343
unit.0.0.waveform.posn.67.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1344
unit.0.0.waveform.posn.67.type=signal
1345
unit.0.0.waveform.posn.68.channel=145
1346
unit.0.0.waveform.posn.68.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1347
unit.0.0.waveform.posn.68.type=signal
1348
unit.0.0.waveform.posn.69.channel=145
1349
unit.0.0.waveform.posn.69.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1350
unit.0.0.waveform.posn.69.type=signal
1351
unit.0.0.waveform.posn.7.channel=7
1352
unit.0.0.waveform.posn.7.name=/GECKO3COM_simple_1/o_send_data_request
1353
unit.0.0.waveform.posn.7.type=signal
1354
unit.0.0.waveform.posn.70.channel=145
1355
unit.0.0.waveform.posn.70.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1356
unit.0.0.waveform.posn.70.type=signal
1357
unit.0.0.waveform.posn.71.channel=145
1358
unit.0.0.waveform.posn.71.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1359
unit.0.0.waveform.posn.71.type=signal
1360
unit.0.0.waveform.posn.72.channel=145
1361
unit.0.0.waveform.posn.72.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1362
unit.0.0.waveform.posn.72.type=signal
1363
unit.0.0.waveform.posn.73.channel=145
1364
unit.0.0.waveform.posn.73.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1365
unit.0.0.waveform.posn.73.type=signal
1366
unit.0.0.waveform.posn.74.channel=145
1367
unit.0.0.waveform.posn.74.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1368
unit.0.0.waveform.posn.74.type=signal
1369
unit.0.0.waveform.posn.75.channel=145
1370
unit.0.0.waveform.posn.75.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1371
unit.0.0.waveform.posn.75.type=signal
1372
unit.0.0.waveform.posn.76.channel=145
1373
unit.0.0.waveform.posn.76.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1374
unit.0.0.waveform.posn.76.type=signal
1375
unit.0.0.waveform.posn.77.channel=145
1376
unit.0.0.waveform.posn.77.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1377
unit.0.0.waveform.posn.77.type=signal
1378
unit.0.0.waveform.posn.78.channel=145
1379
unit.0.0.waveform.posn.78.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1380
unit.0.0.waveform.posn.78.type=signal
1381
unit.0.0.waveform.posn.79.channel=145
1382
unit.0.0.waveform.posn.79.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1383
unit.0.0.waveform.posn.79.type=signal
1384
unit.0.0.waveform.posn.8.channel=8
1385
unit.0.0.waveform.posn.8.name=/GECKO3COM_simple_1/o_send_fifo_full
1386
unit.0.0.waveform.posn.8.type=signal
1387
unit.0.0.waveform.posn.80.channel=145
1388
unit.0.0.waveform.posn.80.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1389
unit.0.0.waveform.posn.80.type=signal
1390
unit.0.0.waveform.posn.81.channel=145
1391
unit.0.0.waveform.posn.81.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1392
unit.0.0.waveform.posn.81.type=signal
1393
unit.0.0.waveform.posn.82.channel=145
1394
unit.0.0.waveform.posn.82.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1395
unit.0.0.waveform.posn.82.type=signal
1396
unit.0.0.waveform.posn.83.channel=145
1397
unit.0.0.waveform.posn.83.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1398
unit.0.0.waveform.posn.83.type=signal
1399
unit.0.0.waveform.posn.84.channel=145
1400
unit.0.0.waveform.posn.84.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1401
unit.0.0.waveform.posn.84.type=signal
1402
unit.0.0.waveform.posn.85.channel=145
1403
unit.0.0.waveform.posn.85.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1404
unit.0.0.waveform.posn.85.type=signal
1405
unit.0.0.waveform.posn.86.channel=145
1406
unit.0.0.waveform.posn.86.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1407
unit.0.0.waveform.posn.86.type=signal
1408
unit.0.0.waveform.posn.87.channel=145
1409
unit.0.0.waveform.posn.87.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1410
unit.0.0.waveform.posn.87.type=signal
1411
unit.0.0.waveform.posn.88.channel=145
1412
unit.0.0.waveform.posn.88.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1413
unit.0.0.waveform.posn.88.type=signal
1414
unit.0.0.waveform.posn.89.channel=145
1415
unit.0.0.waveform.posn.89.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1416
unit.0.0.waveform.posn.89.type=signal
1417
unit.0.0.waveform.posn.9.channel=9
1418
unit.0.0.waveform.posn.9.name=/GECKO3COM_simple_1/o_send_finished
1419
unit.0.0.waveform.posn.9.type=signal
1420
unit.0.0.waveform.posn.90.channel=145
1421
unit.0.0.waveform.posn.90.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1422
unit.0.0.waveform.posn.90.type=signal
1423
unit.0.0.waveform.posn.91.channel=145
1424
unit.0.0.waveform.posn.91.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1425
unit.0.0.waveform.posn.91.type=signal
1426
unit.0.0.waveform.posn.92.channel=145
1427
unit.0.0.waveform.posn.92.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1428
unit.0.0.waveform.posn.92.type=signal
1429
unit.0.0.waveform.posn.93.channel=145
1430
unit.0.0.waveform.posn.93.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1431
unit.0.0.waveform.posn.93.type=signal
1432
unit.0.0.waveform.posn.94.channel=145
1433
unit.0.0.waveform.posn.94.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1434
unit.0.0.waveform.posn.94.type=signal
1435
unit.0.0.waveform.posn.95.channel=145
1436
unit.0.0.waveform.posn.95.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1437
unit.0.0.waveform.posn.95.type=signal
1438
unit.0.0.waveform.posn.96.channel=145
1439
unit.0.0.waveform.posn.96.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1440
unit.0.0.waveform.posn.96.type=signal
1441
unit.0.0.waveform.posn.97.channel=145
1442
unit.0.0.waveform.posn.97.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1443
unit.0.0.waveform.posn.97.type=signal
1444
unit.0.0.waveform.posn.98.channel=145
1445
unit.0.0.waveform.posn.98.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1446
unit.0.0.waveform.posn.98.type=signal
1447
unit.0.0.waveform.posn.99.channel=145
1448
unit.0.0.waveform.posn.99.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1449
unit.0.0.waveform.posn.99.type=signal

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.