OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-ip/] [core/] [GECKO3COM_simple_test.cpj] - Blame information for rev 27

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 26 nussgipfel
#ChipScope Pro Analyzer Project File, Version 3.0
2 27 nussgipfel
#Mon Feb 22 18:02:47 CET 2010
3 26 nussgipfel
deviceChain.deviceName0=XC3S1500
4
deviceChain.iRLength0=6
5
deviceChain.name0=MyDevice0
6
deviceIds=01434093
7
import.certifyIdx=-1
8
import.dir=/home/chrigi/bfh-work/GECKO3COM/gecko3com-ip/core/
9
import.filename=GECKO3COM_simple_test.cdc
10
import.unitDeviceIdx=0
11 27 nussgipfel
mdiAreaHeight=0.8258317025440313
12
mdiAreaHeightLast=0.6898238747553816
13 26 nussgipfel
mdiCount=2
14
mdiDevice0=0
15
mdiDevice1=0
16
mdiType0=1
17
mdiType1=0
18
mdiUnit0=0
19
mdiUnit1=0
20 27 nussgipfel
navigatorHeight=0.31604696673189825
21
navigatorHeightLast=0.17906066536203522
22
navigatorWidth=0.11407103825136612
23
navigatorWidthLast=0.2889344262295082
24 26 nussgipfel
unit.-1.-1.username=
25 27 nussgipfel
unit.0.-1.username=
26
unit.0.0.0.HEIGHT0=0.4589774
27 26 nussgipfel
unit.0.0.0.TriggerRow0=1
28
unit.0.0.0.TriggerRow1=1
29
unit.0.0.0.TriggerRow2=1
30 27 nussgipfel
unit.0.0.0.WIDTH0=1.0571653
31 26 nussgipfel
unit.0.0.0.X0=0.0
32
unit.0.0.0.Y0=0.0
33 27 nussgipfel
unit.0.0.1.HEIGHT1=0.9084423
34
unit.0.0.1.WIDTH1=1.0563822
35
unit.0.0.1.X1=-0.0031323414
36
unit.0.0.1.Y1=0.10463734
37
unit.0.0.MFBitsA0=XXXXX1XXXXXX
38 26 nussgipfel
unit.0.0.MFBitsA1=XXXXXXXXXXXX
39
unit.0.0.MFBitsB0=000000000000
40
unit.0.0.MFBitsB1=000000000000
41
unit.0.0.MFCompareA0=0
42
unit.0.0.MFCompareA1=0
43
unit.0.0.MFCompareB0=999
44
unit.0.0.MFCompareB1=999
45
unit.0.0.MFCount=2
46
unit.0.0.MFDisplay0=0
47
unit.0.0.MFDisplay1=0
48
unit.0.0.MFEventType0=3
49
unit.0.0.MFEventType1=3
50
unit.0.0.SQCondition=All Data
51
unit.0.0.SQContiguous0=0
52
unit.0.0.SequencerOn=0
53
unit.0.0.TCActive=0
54
unit.0.0.TCAdvanced0=0
55
unit.0.0.TCCondition0_0=M0
56 27 nussgipfel
unit.0.0.TCCondition0_1=M0
57
unit.0.0.TCConditionType0=0
58 26 nussgipfel
unit.0.0.TCCount=1
59
unit.0.0.TCEventCount0=1
60
unit.0.0.TCEventType0=3
61
unit.0.0.TCName0=TriggerCondition0
62
unit.0.0.TCOutputEnable0=0
63
unit.0.0.TCOutputHigh0=1
64
unit.0.0.TCOutputMode0=0
65
unit.0.0.browser_tree_state=0
66
unit.0.0.browser_tree_state=0
67
unit.0.0.browser_tree_state=0
68 27 nussgipfel
unit.0.0.browser_tree_state=0
69
unit.0.0.browser_tree_state=0
70 26 nussgipfel
unit.0.0.browser_tree_state=1
71 27 nussgipfel
unit.0.0.browser_tree_state=0
72 26 nussgipfel
unit.0.0.browser_tree_state=1
73 27 nussgipfel
unit.0.0.browser_tree_state=1
74
unit.0.0.browser_tree_state=1
75 26 nussgipfel
unit.0.0.browser_tree_state=0
76 27 nussgipfel
unit.0.0.browser_tree_state=0
77 26 nussgipfel
unit.0.0.coretype=ILA
78
unit.0.0.eventCount0=1
79
unit.0.0.eventCount1=1
80
unit.0.0.port.-1.b.0.alias=/GECKO3COM_simple_1/s_gpif_rx_data
81
unit.0.0.port.-1.b.0.channellist=74 81 82 83 84 85 86 87 88 89 75 76 77 78 79 80
82
unit.0.0.port.-1.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
83
unit.0.0.port.-1.b.0.name=/GECKO3COM_simple_1/s_gpif_rx_data
84
unit.0.0.port.-1.b.0.orderindex=-1
85
unit.0.0.port.-1.b.0.radix=Hex
86
unit.0.0.port.-1.b.0.signedOffset=0.0
87
unit.0.0.port.-1.b.0.signedPrecision=0
88
unit.0.0.port.-1.b.0.signedScaleFactor=1.0
89
unit.0.0.port.-1.b.0.tokencount=0
90
unit.0.0.port.-1.b.0.unsignedOffset=0.0
91
unit.0.0.port.-1.b.0.unsignedPrecision=0
92
unit.0.0.port.-1.b.0.unsignedScaleFactor=1.0
93
unit.0.0.port.-1.b.0.visible=1
94
unit.0.0.port.-1.b.1.alias=/GECKO3COM_simple_1/s_send_mux_sel
95
unit.0.0.port.-1.b.1.channellist=39 40 41
96
unit.0.0.port.-1.b.1.color=java.awt.Color[r\=0,g\=0,b\=124]
97
unit.0.0.port.-1.b.1.name=/GECKO3COM_simple_1/s_send_mux_sel
98
unit.0.0.port.-1.b.1.orderindex=-1
99
unit.0.0.port.-1.b.1.radix=Hex
100
unit.0.0.port.-1.b.1.signedOffset=0.0
101
unit.0.0.port.-1.b.1.signedPrecision=0
102
unit.0.0.port.-1.b.1.signedScaleFactor=1.0
103
unit.0.0.port.-1.b.1.tokencount=0
104
unit.0.0.port.-1.b.1.unsignedOffset=0.0
105
unit.0.0.port.-1.b.1.unsignedPrecision=0
106
unit.0.0.port.-1.b.1.unsignedScaleFactor=1.0
107
unit.0.0.port.-1.b.1.visible=1
108 27 nussgipfel
unit.0.0.port.-1.b.10.alias=s_receive_transfersize_en
109
unit.0.0.port.-1.b.10.channellist=29 30
110
unit.0.0.port.-1.b.10.color=java.awt.Color[r\=0,g\=0,b\=124]
111
unit.0.0.port.-1.b.10.name=/GECKO3COM_simple_1/s_receive_transfersize_en
112
unit.0.0.port.-1.b.10.orderindex=-1
113
unit.0.0.port.-1.b.10.radix=Hex
114
unit.0.0.port.-1.b.10.signedOffset=0.0
115
unit.0.0.port.-1.b.10.signedPrecision=0
116
unit.0.0.port.-1.b.10.signedScaleFactor=1.0
117
unit.0.0.port.-1.b.10.tokencount=0
118
unit.0.0.port.-1.b.10.unsignedOffset=0.0
119
unit.0.0.port.-1.b.10.unsignedPrecision=0
120
unit.0.0.port.-1.b.10.unsignedScaleFactor=1.0
121
unit.0.0.port.-1.b.10.visible=1
122
unit.0.0.port.-1.b.2.alias=/s_send_fifo_data
123
unit.0.0.port.-1.b.2.channellist=49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72
124 26 nussgipfel
unit.0.0.port.-1.b.2.color=java.awt.Color[r\=0,g\=0,b\=124]
125 27 nussgipfel
unit.0.0.port.-1.b.2.name=/s_send_fifo_data
126 26 nussgipfel
unit.0.0.port.-1.b.2.orderindex=-1
127
unit.0.0.port.-1.b.2.radix=Hex
128
unit.0.0.port.-1.b.2.signedOffset=0.0
129
unit.0.0.port.-1.b.2.signedPrecision=0
130
unit.0.0.port.-1.b.2.signedScaleFactor=1.0
131
unit.0.0.port.-1.b.2.tokencount=0
132
unit.0.0.port.-1.b.2.unsignedOffset=0.0
133
unit.0.0.port.-1.b.2.unsignedPrecision=0
134
unit.0.0.port.-1.b.2.unsignedScaleFactor=1.0
135
unit.0.0.port.-1.b.2.visible=1
136 27 nussgipfel
unit.0.0.port.-1.b.3.alias=GECKO3COM_simple_fsm_1/state_FSM_FFd1
137
unit.0.0.port.-1.b.3.channellist=46 45 44 43 42
138 26 nussgipfel
unit.0.0.port.-1.b.3.color=java.awt.Color[r\=0,g\=0,b\=124]
139 27 nussgipfel
unit.0.0.port.-1.b.3.name=/GECKO3COM_simple_1/o_receive_transfersize
140 26 nussgipfel
unit.0.0.port.-1.b.3.orderindex=-1
141
unit.0.0.port.-1.b.3.radix=Hex
142
unit.0.0.port.-1.b.3.signedOffset=0.0
143
unit.0.0.port.-1.b.3.signedPrecision=0
144
unit.0.0.port.-1.b.3.signedScaleFactor=1.0
145
unit.0.0.port.-1.b.3.tokencount=0
146
unit.0.0.port.-1.b.3.unsignedOffset=0.0
147
unit.0.0.port.-1.b.3.unsignedPrecision=0
148
unit.0.0.port.-1.b.3.unsignedScaleFactor=1.0
149
unit.0.0.port.-1.b.3.visible=1
150 27 nussgipfel
unit.0.0.port.-1.b.4.alias=Mcount_s_send_transfersize_coun
151
unit.0.0.port.-1.b.4.channellist=91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121
152 26 nussgipfel
unit.0.0.port.-1.b.4.color=java.awt.Color[r\=0,g\=0,b\=124]
153 27 nussgipfel
unit.0.0.port.-1.b.4.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_coun
154 26 nussgipfel
unit.0.0.port.-1.b.4.orderindex=-1
155
unit.0.0.port.-1.b.4.radix=Hex
156
unit.0.0.port.-1.b.4.signedOffset=0.0
157
unit.0.0.port.-1.b.4.signedPrecision=0
158
unit.0.0.port.-1.b.4.signedScaleFactor=1.0
159
unit.0.0.port.-1.b.4.tokencount=0
160
unit.0.0.port.-1.b.4.unsignedOffset=0.0
161
unit.0.0.port.-1.b.4.unsignedPrecision=0
162
unit.0.0.port.-1.b.4.unsignedScaleFactor=1.0
163
unit.0.0.port.-1.b.4.visible=1
164 27 nussgipfel
unit.0.0.port.-1.b.5.alias=s_btag
165
unit.0.0.port.-1.b.5.channellist=122 123 124 125 126 127 128 129
166 26 nussgipfel
unit.0.0.port.-1.b.5.color=java.awt.Color[r\=0,g\=0,b\=124]
167 27 nussgipfel
unit.0.0.port.-1.b.5.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag
168 26 nussgipfel
unit.0.0.port.-1.b.5.orderindex=-1
169
unit.0.0.port.-1.b.5.radix=Hex
170
unit.0.0.port.-1.b.5.signedOffset=0.0
171
unit.0.0.port.-1.b.5.signedPrecision=0
172
unit.0.0.port.-1.b.5.signedScaleFactor=1.0
173
unit.0.0.port.-1.b.5.tokencount=0
174
unit.0.0.port.-1.b.5.unsignedOffset=0.0
175
unit.0.0.port.-1.b.5.unsignedPrecision=0
176
unit.0.0.port.-1.b.5.unsignedScaleFactor=1.0
177
unit.0.0.port.-1.b.5.visible=1
178 27 nussgipfel
unit.0.0.port.-1.b.6.alias=s_msg_id
179
unit.0.0.port.-1.b.6.channellist=130 131 132 133 134 135 136 137
180 26 nussgipfel
unit.0.0.port.-1.b.6.color=java.awt.Color[r\=0,g\=0,b\=124]
181 27 nussgipfel
unit.0.0.port.-1.b.6.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_msg_id
182 26 nussgipfel
unit.0.0.port.-1.b.6.orderindex=-1
183
unit.0.0.port.-1.b.6.radix=Hex
184
unit.0.0.port.-1.b.6.signedOffset=0.0
185
unit.0.0.port.-1.b.6.signedPrecision=0
186
unit.0.0.port.-1.b.6.signedScaleFactor=1.0
187
unit.0.0.port.-1.b.6.tokencount=0
188
unit.0.0.port.-1.b.6.unsignedOffset=0.0
189
unit.0.0.port.-1.b.6.unsignedPrecision=0
190
unit.0.0.port.-1.b.6.unsignedScaleFactor=1.0
191
unit.0.0.port.-1.b.6.visible=1
192 27 nussgipfel
unit.0.0.port.-1.b.7.alias=s_nbtag
193
unit.0.0.port.-1.b.7.channellist=138 139 140 141 142 143 144 145
194 26 nussgipfel
unit.0.0.port.-1.b.7.color=java.awt.Color[r\=0,g\=0,b\=124]
195 27 nussgipfel
unit.0.0.port.-1.b.7.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag
196 26 nussgipfel
unit.0.0.port.-1.b.7.orderindex=-1
197
unit.0.0.port.-1.b.7.radix=Hex
198
unit.0.0.port.-1.b.7.signedOffset=0.0
199
unit.0.0.port.-1.b.7.signedPrecision=0
200
unit.0.0.port.-1.b.7.signedScaleFactor=1.0
201
unit.0.0.port.-1.b.7.tokencount=0
202
unit.0.0.port.-1.b.7.unsignedOffset=0.0
203
unit.0.0.port.-1.b.7.unsignedPrecision=0
204
unit.0.0.port.-1.b.7.unsignedScaleFactor=1.0
205
unit.0.0.port.-1.b.7.visible=1
206 27 nussgipfel
unit.0.0.port.-1.b.8.alias=s_receive_transfersize_en
207
unit.0.0.port.-1.b.8.channellist=29 30
208
unit.0.0.port.-1.b.8.color=java.awt.Color[r\=0,g\=0,b\=124]
209
unit.0.0.port.-1.b.8.name=/GECKO3COM_simple_1/s_receive_transfersize_en
210
unit.0.0.port.-1.b.8.orderindex=-1
211
unit.0.0.port.-1.b.8.radix=Hex
212
unit.0.0.port.-1.b.8.signedOffset=0.0
213
unit.0.0.port.-1.b.8.signedPrecision=0
214
unit.0.0.port.-1.b.8.signedScaleFactor=1.0
215
unit.0.0.port.-1.b.8.tokencount=0
216
unit.0.0.port.-1.b.8.unsignedOffset=0.0
217
unit.0.0.port.-1.b.8.unsignedPrecision=0
218
unit.0.0.port.-1.b.8.unsignedScaleFactor=1.0
219
unit.0.0.port.-1.b.8.visible=1
220
unit.0.0.port.-1.b.9.alias=s_receive_transfersize_en
221
unit.0.0.port.-1.b.9.channellist=29 30
222
unit.0.0.port.-1.b.9.color=java.awt.Color[r\=0,g\=0,b\=124]
223
unit.0.0.port.-1.b.9.name=/GECKO3COM_simple_1/s_receive_transfersize_en
224
unit.0.0.port.-1.b.9.orderindex=-1
225
unit.0.0.port.-1.b.9.radix=Hex
226
unit.0.0.port.-1.b.9.signedOffset=0.0
227
unit.0.0.port.-1.b.9.signedPrecision=0
228
unit.0.0.port.-1.b.9.signedScaleFactor=1.0
229
unit.0.0.port.-1.b.9.tokencount=0
230
unit.0.0.port.-1.b.9.unsignedOffset=0.0
231
unit.0.0.port.-1.b.9.unsignedPrecision=0
232
unit.0.0.port.-1.b.9.unsignedScaleFactor=1.0
233
unit.0.0.port.-1.b.9.visible=1
234
unit.0.0.port.-1.buscount=9
235 26 nussgipfel
unit.0.0.port.-1.channelcount=146
236
unit.0.0.port.-1.s.0.alias=
237
unit.0.0.port.-1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
238
unit.0.0.port.-1.s.0.name=/GECKO3COM_simple_1/i_nReset
239
unit.0.0.port.-1.s.0.orderindex=-1
240
unit.0.0.port.-1.s.0.visible=1
241
unit.0.0.port.-1.s.1.alias=
242
unit.0.0.port.-1.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
243
unit.0.0.port.-1.s.1.name=/GECKO3COM_simple_1/i_receive_fifo_rd_en
244
unit.0.0.port.-1.s.1.orderindex=-1
245
unit.0.0.port.-1.s.1.visible=1
246
unit.0.0.port.-1.s.10.alias=
247
unit.0.0.port.-1.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
248
unit.0.0.port.-1.s.10.name=/GECKO3COM_simple_1/s_btag_correct
249
unit.0.0.port.-1.s.10.orderindex=-1
250
unit.0.0.port.-1.s.10.visible=1
251
unit.0.0.port.-1.s.100.alias=
252
unit.0.0.port.-1.s.100.color=java.awt.Color[r\=0,g\=0,b\=124]
253 27 nussgipfel
unit.0.0.port.-1.s.100.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count9
254 26 nussgipfel
unit.0.0.port.-1.s.100.orderindex=-1
255
unit.0.0.port.-1.s.100.visible=0
256
unit.0.0.port.-1.s.101.alias=
257
unit.0.0.port.-1.s.101.color=java.awt.Color[r\=0,g\=0,b\=124]
258 27 nussgipfel
unit.0.0.port.-1.s.101.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count10
259 26 nussgipfel
unit.0.0.port.-1.s.101.orderindex=-1
260
unit.0.0.port.-1.s.101.visible=0
261
unit.0.0.port.-1.s.102.alias=
262
unit.0.0.port.-1.s.102.color=java.awt.Color[r\=0,g\=0,b\=124]
263 27 nussgipfel
unit.0.0.port.-1.s.102.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count11
264 26 nussgipfel
unit.0.0.port.-1.s.102.orderindex=-1
265
unit.0.0.port.-1.s.102.visible=0
266
unit.0.0.port.-1.s.103.alias=
267
unit.0.0.port.-1.s.103.color=java.awt.Color[r\=0,g\=0,b\=124]
268 27 nussgipfel
unit.0.0.port.-1.s.103.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count12
269 26 nussgipfel
unit.0.0.port.-1.s.103.orderindex=-1
270
unit.0.0.port.-1.s.103.visible=0
271
unit.0.0.port.-1.s.104.alias=
272
unit.0.0.port.-1.s.104.color=java.awt.Color[r\=0,g\=0,b\=124]
273 27 nussgipfel
unit.0.0.port.-1.s.104.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count13
274 26 nussgipfel
unit.0.0.port.-1.s.104.orderindex=-1
275
unit.0.0.port.-1.s.104.visible=0
276
unit.0.0.port.-1.s.105.alias=
277
unit.0.0.port.-1.s.105.color=java.awt.Color[r\=0,g\=0,b\=124]
278 27 nussgipfel
unit.0.0.port.-1.s.105.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count14
279 26 nussgipfel
unit.0.0.port.-1.s.105.orderindex=-1
280
unit.0.0.port.-1.s.105.visible=0
281
unit.0.0.port.-1.s.106.alias=
282
unit.0.0.port.-1.s.106.color=java.awt.Color[r\=0,g\=0,b\=124]
283 27 nussgipfel
unit.0.0.port.-1.s.106.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count15
284 26 nussgipfel
unit.0.0.port.-1.s.106.orderindex=-1
285
unit.0.0.port.-1.s.106.visible=0
286
unit.0.0.port.-1.s.107.alias=
287
unit.0.0.port.-1.s.107.color=java.awt.Color[r\=0,g\=0,b\=124]
288 27 nussgipfel
unit.0.0.port.-1.s.107.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count16
289 26 nussgipfel
unit.0.0.port.-1.s.107.orderindex=-1
290
unit.0.0.port.-1.s.107.visible=0
291
unit.0.0.port.-1.s.108.alias=
292
unit.0.0.port.-1.s.108.color=java.awt.Color[r\=0,g\=0,b\=124]
293 27 nussgipfel
unit.0.0.port.-1.s.108.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count17
294 26 nussgipfel
unit.0.0.port.-1.s.108.orderindex=-1
295
unit.0.0.port.-1.s.108.visible=0
296
unit.0.0.port.-1.s.109.alias=
297
unit.0.0.port.-1.s.109.color=java.awt.Color[r\=0,g\=0,b\=124]
298 27 nussgipfel
unit.0.0.port.-1.s.109.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count18
299 26 nussgipfel
unit.0.0.port.-1.s.109.orderindex=-1
300
unit.0.0.port.-1.s.109.visible=0
301
unit.0.0.port.-1.s.11.alias=
302
unit.0.0.port.-1.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
303
unit.0.0.port.-1.s.11.name=/GECKO3COM_simple_1/s_btag_reg_en
304
unit.0.0.port.-1.s.11.orderindex=-1
305
unit.0.0.port.-1.s.11.visible=1
306
unit.0.0.port.-1.s.110.alias=
307
unit.0.0.port.-1.s.110.color=java.awt.Color[r\=0,g\=0,b\=124]
308 27 nussgipfel
unit.0.0.port.-1.s.110.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count19
309 26 nussgipfel
unit.0.0.port.-1.s.110.orderindex=-1
310
unit.0.0.port.-1.s.110.visible=0
311
unit.0.0.port.-1.s.111.alias=
312
unit.0.0.port.-1.s.111.color=java.awt.Color[r\=0,g\=0,b\=124]
313 27 nussgipfel
unit.0.0.port.-1.s.111.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count20
314 26 nussgipfel
unit.0.0.port.-1.s.111.orderindex=-1
315
unit.0.0.port.-1.s.111.visible=0
316
unit.0.0.port.-1.s.112.alias=
317
unit.0.0.port.-1.s.112.color=java.awt.Color[r\=0,g\=0,b\=124]
318 27 nussgipfel
unit.0.0.port.-1.s.112.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count21
319 26 nussgipfel
unit.0.0.port.-1.s.112.orderindex=-1
320
unit.0.0.port.-1.s.112.visible=0
321
unit.0.0.port.-1.s.113.alias=
322
unit.0.0.port.-1.s.113.color=java.awt.Color[r\=0,g\=0,b\=124]
323 27 nussgipfel
unit.0.0.port.-1.s.113.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count22
324 26 nussgipfel
unit.0.0.port.-1.s.113.orderindex=-1
325
unit.0.0.port.-1.s.113.visible=0
326
unit.0.0.port.-1.s.114.alias=
327
unit.0.0.port.-1.s.114.color=java.awt.Color[r\=0,g\=0,b\=124]
328 27 nussgipfel
unit.0.0.port.-1.s.114.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count23
329 26 nussgipfel
unit.0.0.port.-1.s.114.orderindex=-1
330
unit.0.0.port.-1.s.114.visible=0
331
unit.0.0.port.-1.s.115.alias=
332
unit.0.0.port.-1.s.115.color=java.awt.Color[r\=0,g\=0,b\=124]
333 27 nussgipfel
unit.0.0.port.-1.s.115.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count24
334 26 nussgipfel
unit.0.0.port.-1.s.115.orderindex=-1
335
unit.0.0.port.-1.s.115.visible=0
336
unit.0.0.port.-1.s.116.alias=
337
unit.0.0.port.-1.s.116.color=java.awt.Color[r\=0,g\=0,b\=124]
338 27 nussgipfel
unit.0.0.port.-1.s.116.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count25
339 26 nussgipfel
unit.0.0.port.-1.s.116.orderindex=-1
340
unit.0.0.port.-1.s.116.visible=0
341
unit.0.0.port.-1.s.117.alias=
342
unit.0.0.port.-1.s.117.color=java.awt.Color[r\=0,g\=0,b\=124]
343 27 nussgipfel
unit.0.0.port.-1.s.117.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count26
344 26 nussgipfel
unit.0.0.port.-1.s.117.orderindex=-1
345
unit.0.0.port.-1.s.117.visible=0
346
unit.0.0.port.-1.s.118.alias=
347
unit.0.0.port.-1.s.118.color=java.awt.Color[r\=0,g\=0,b\=124]
348 27 nussgipfel
unit.0.0.port.-1.s.118.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count27
349 26 nussgipfel
unit.0.0.port.-1.s.118.orderindex=-1
350
unit.0.0.port.-1.s.118.visible=0
351
unit.0.0.port.-1.s.119.alias=
352
unit.0.0.port.-1.s.119.color=java.awt.Color[r\=0,g\=0,b\=124]
353 27 nussgipfel
unit.0.0.port.-1.s.119.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count28
354 26 nussgipfel
unit.0.0.port.-1.s.119.orderindex=-1
355
unit.0.0.port.-1.s.119.visible=0
356
unit.0.0.port.-1.s.12.alias=
357
unit.0.0.port.-1.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
358
unit.0.0.port.-1.s.12.name=/GECKO3COM_simple_1/s_dev_dep_msg_out
359
unit.0.0.port.-1.s.12.orderindex=-1
360
unit.0.0.port.-1.s.12.visible=1
361
unit.0.0.port.-1.s.120.alias=
362
unit.0.0.port.-1.s.120.color=java.awt.Color[r\=0,g\=0,b\=124]
363 27 nussgipfel
unit.0.0.port.-1.s.120.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count29
364 26 nussgipfel
unit.0.0.port.-1.s.120.orderindex=-1
365
unit.0.0.port.-1.s.120.visible=0
366
unit.0.0.port.-1.s.121.alias=
367
unit.0.0.port.-1.s.121.color=java.awt.Color[r\=0,g\=0,b\=124]
368 27 nussgipfel
unit.0.0.port.-1.s.121.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count30
369 26 nussgipfel
unit.0.0.port.-1.s.121.orderindex=-1
370
unit.0.0.port.-1.s.121.visible=0
371
unit.0.0.port.-1.s.122.alias=
372
unit.0.0.port.-1.s.122.color=java.awt.Color[r\=0,g\=0,b\=124]
373
unit.0.0.port.-1.s.122.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag<0>
374
unit.0.0.port.-1.s.122.orderindex=-1
375
unit.0.0.port.-1.s.122.visible=0
376
unit.0.0.port.-1.s.123.alias=
377
unit.0.0.port.-1.s.123.color=java.awt.Color[r\=0,g\=0,b\=124]
378
unit.0.0.port.-1.s.123.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag<1>
379
unit.0.0.port.-1.s.123.orderindex=-1
380
unit.0.0.port.-1.s.123.visible=0
381
unit.0.0.port.-1.s.124.alias=
382
unit.0.0.port.-1.s.124.color=java.awt.Color[r\=0,g\=0,b\=124]
383
unit.0.0.port.-1.s.124.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag<2>
384
unit.0.0.port.-1.s.124.orderindex=-1
385
unit.0.0.port.-1.s.124.visible=0
386
unit.0.0.port.-1.s.125.alias=
387
unit.0.0.port.-1.s.125.color=java.awt.Color[r\=0,g\=0,b\=124]
388
unit.0.0.port.-1.s.125.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag<3>
389
unit.0.0.port.-1.s.125.orderindex=-1
390
unit.0.0.port.-1.s.125.visible=0
391
unit.0.0.port.-1.s.126.alias=
392
unit.0.0.port.-1.s.126.color=java.awt.Color[r\=0,g\=0,b\=124]
393
unit.0.0.port.-1.s.126.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag<4>
394
unit.0.0.port.-1.s.126.orderindex=-1
395
unit.0.0.port.-1.s.126.visible=0
396
unit.0.0.port.-1.s.127.alias=
397
unit.0.0.port.-1.s.127.color=java.awt.Color[r\=0,g\=0,b\=124]
398
unit.0.0.port.-1.s.127.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag<5>
399
unit.0.0.port.-1.s.127.orderindex=-1
400
unit.0.0.port.-1.s.127.visible=0
401
unit.0.0.port.-1.s.128.alias=
402
unit.0.0.port.-1.s.128.color=java.awt.Color[r\=0,g\=0,b\=124]
403
unit.0.0.port.-1.s.128.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag<6>
404
unit.0.0.port.-1.s.128.orderindex=-1
405
unit.0.0.port.-1.s.128.visible=0
406
unit.0.0.port.-1.s.129.alias=
407
unit.0.0.port.-1.s.129.color=java.awt.Color[r\=0,g\=0,b\=124]
408
unit.0.0.port.-1.s.129.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag<7>
409
unit.0.0.port.-1.s.129.orderindex=-1
410
unit.0.0.port.-1.s.129.visible=0
411
unit.0.0.port.-1.s.13.alias=
412
unit.0.0.port.-1.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
413
unit.0.0.port.-1.s.13.name=/GECKO3COM_simple_1/s_eom_bit_detected
414
unit.0.0.port.-1.s.13.orderindex=-1
415
unit.0.0.port.-1.s.13.visible=1
416
unit.0.0.port.-1.s.130.alias=
417
unit.0.0.port.-1.s.130.color=java.awt.Color[r\=0,g\=0,b\=124]
418
unit.0.0.port.-1.s.130.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_msg_id<0>
419
unit.0.0.port.-1.s.130.orderindex=-1
420
unit.0.0.port.-1.s.130.visible=0
421
unit.0.0.port.-1.s.131.alias=
422
unit.0.0.port.-1.s.131.color=java.awt.Color[r\=0,g\=0,b\=124]
423
unit.0.0.port.-1.s.131.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_msg_id<1>
424
unit.0.0.port.-1.s.131.orderindex=-1
425
unit.0.0.port.-1.s.131.visible=0
426
unit.0.0.port.-1.s.132.alias=
427
unit.0.0.port.-1.s.132.color=java.awt.Color[r\=0,g\=0,b\=124]
428
unit.0.0.port.-1.s.132.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_msg_id<2>
429
unit.0.0.port.-1.s.132.orderindex=-1
430
unit.0.0.port.-1.s.132.visible=0
431
unit.0.0.port.-1.s.133.alias=
432
unit.0.0.port.-1.s.133.color=java.awt.Color[r\=0,g\=0,b\=124]
433
unit.0.0.port.-1.s.133.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_msg_id<3>
434
unit.0.0.port.-1.s.133.orderindex=-1
435
unit.0.0.port.-1.s.133.visible=0
436
unit.0.0.port.-1.s.134.alias=
437
unit.0.0.port.-1.s.134.color=java.awt.Color[r\=0,g\=0,b\=124]
438
unit.0.0.port.-1.s.134.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_msg_id<4>
439
unit.0.0.port.-1.s.134.orderindex=-1
440
unit.0.0.port.-1.s.134.visible=0
441
unit.0.0.port.-1.s.135.alias=
442
unit.0.0.port.-1.s.135.color=java.awt.Color[r\=0,g\=0,b\=124]
443
unit.0.0.port.-1.s.135.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_msg_id<5>
444
unit.0.0.port.-1.s.135.orderindex=-1
445
unit.0.0.port.-1.s.135.visible=0
446
unit.0.0.port.-1.s.136.alias=
447
unit.0.0.port.-1.s.136.color=java.awt.Color[r\=0,g\=0,b\=124]
448
unit.0.0.port.-1.s.136.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_msg_id<6>
449
unit.0.0.port.-1.s.136.orderindex=-1
450
unit.0.0.port.-1.s.136.visible=0
451
unit.0.0.port.-1.s.137.alias=
452
unit.0.0.port.-1.s.137.color=java.awt.Color[r\=0,g\=0,b\=124]
453
unit.0.0.port.-1.s.137.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_msg_id<7>
454
unit.0.0.port.-1.s.137.orderindex=-1
455
unit.0.0.port.-1.s.137.visible=0
456
unit.0.0.port.-1.s.138.alias=
457
unit.0.0.port.-1.s.138.color=java.awt.Color[r\=0,g\=0,b\=124]
458
unit.0.0.port.-1.s.138.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<0>
459
unit.0.0.port.-1.s.138.orderindex=-1
460
unit.0.0.port.-1.s.138.visible=0
461
unit.0.0.port.-1.s.139.alias=
462
unit.0.0.port.-1.s.139.color=java.awt.Color[r\=0,g\=0,b\=124]
463
unit.0.0.port.-1.s.139.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<1>
464
unit.0.0.port.-1.s.139.orderindex=-1
465
unit.0.0.port.-1.s.139.visible=0
466
unit.0.0.port.-1.s.14.alias=
467
unit.0.0.port.-1.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
468
unit.0.0.port.-1.s.14.name=/GECKO3COM_simple_1/s_gpif_abort
469
unit.0.0.port.-1.s.14.orderindex=-1
470
unit.0.0.port.-1.s.14.visible=1
471
unit.0.0.port.-1.s.140.alias=
472
unit.0.0.port.-1.s.140.color=java.awt.Color[r\=0,g\=0,b\=124]
473
unit.0.0.port.-1.s.140.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<2>
474
unit.0.0.port.-1.s.140.orderindex=-1
475
unit.0.0.port.-1.s.140.visible=0
476
unit.0.0.port.-1.s.141.alias=
477
unit.0.0.port.-1.s.141.color=java.awt.Color[r\=0,g\=0,b\=124]
478
unit.0.0.port.-1.s.141.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<3>
479
unit.0.0.port.-1.s.141.orderindex=-1
480
unit.0.0.port.-1.s.141.visible=0
481
unit.0.0.port.-1.s.142.alias=
482
unit.0.0.port.-1.s.142.color=java.awt.Color[r\=0,g\=0,b\=124]
483
unit.0.0.port.-1.s.142.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<4>
484
unit.0.0.port.-1.s.142.orderindex=-1
485
unit.0.0.port.-1.s.142.visible=0
486
unit.0.0.port.-1.s.143.alias=
487
unit.0.0.port.-1.s.143.color=java.awt.Color[r\=0,g\=0,b\=124]
488
unit.0.0.port.-1.s.143.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<5>
489
unit.0.0.port.-1.s.143.orderindex=-1
490
unit.0.0.port.-1.s.143.visible=0
491
unit.0.0.port.-1.s.144.alias=
492
unit.0.0.port.-1.s.144.color=java.awt.Color[r\=0,g\=0,b\=124]
493
unit.0.0.port.-1.s.144.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<6>
494
unit.0.0.port.-1.s.144.orderindex=-1
495
unit.0.0.port.-1.s.144.visible=0
496
unit.0.0.port.-1.s.145.alias=
497
unit.0.0.port.-1.s.145.color=java.awt.Color[r\=0,g\=0,b\=124]
498
unit.0.0.port.-1.s.145.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
499
unit.0.0.port.-1.s.145.orderindex=-1
500
unit.0.0.port.-1.s.145.visible=0
501
unit.0.0.port.-1.s.15.alias=
502
unit.0.0.port.-1.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
503
unit.0.0.port.-1.s.15.name=/GECKO3COM_simple_1/s_gpif_eom
504
unit.0.0.port.-1.s.15.orderindex=-1
505
unit.0.0.port.-1.s.15.visible=1
506
unit.0.0.port.-1.s.16.alias=
507
unit.0.0.port.-1.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
508
unit.0.0.port.-1.s.16.name=/GECKO3COM_simple_1/s_gpif_rx_empty
509
unit.0.0.port.-1.s.16.orderindex=-1
510
unit.0.0.port.-1.s.16.visible=1
511
unit.0.0.port.-1.s.17.alias=
512
unit.0.0.port.-1.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
513
unit.0.0.port.-1.s.17.name=/GECKO3COM_simple_1/s_gpif_rx_rd_en
514
unit.0.0.port.-1.s.17.orderindex=-1
515
unit.0.0.port.-1.s.17.visible=1
516
unit.0.0.port.-1.s.18.alias=
517
unit.0.0.port.-1.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
518
unit.0.0.port.-1.s.18.name=/GECKO3COM_simple_1/s_gpif_tx_full
519
unit.0.0.port.-1.s.18.orderindex=-1
520
unit.0.0.port.-1.s.18.visible=1
521
unit.0.0.port.-1.s.19.alias=
522
unit.0.0.port.-1.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
523
unit.0.0.port.-1.s.19.name=/GECKO3COM_simple_1/s_gpif_tx_wr_en
524
unit.0.0.port.-1.s.19.orderindex=-1
525
unit.0.0.port.-1.s.19.visible=1
526
unit.0.0.port.-1.s.2.alias=
527
unit.0.0.port.-1.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
528
unit.0.0.port.-1.s.2.name=/GECKO3COM_simple_1/i_send_fifo_wr_en
529
unit.0.0.port.-1.s.2.orderindex=-1
530
unit.0.0.port.-1.s.2.visible=1
531
unit.0.0.port.-1.s.20.alias=
532
unit.0.0.port.-1.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
533
unit.0.0.port.-1.s.20.name=/GECKO3COM_simple_1/s_nbtag_reg_en
534
unit.0.0.port.-1.s.20.orderindex=-1
535
unit.0.0.port.-1.s.20.visible=1
536
unit.0.0.port.-1.s.21.alias=
537
unit.0.0.port.-1.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
538
unit.0.0.port.-1.s.21.name=/GECKO3COM_simple_1/s_receive_counter_en
539
unit.0.0.port.-1.s.21.orderindex=-1
540
unit.0.0.port.-1.s.21.visible=1
541
unit.0.0.port.-1.s.22.alias=
542
unit.0.0.port.-1.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
543
unit.0.0.port.-1.s.22.name=/GECKO3COM_simple_1/s_receive_counter_load
544
unit.0.0.port.-1.s.22.orderindex=-1
545
unit.0.0.port.-1.s.22.visible=1
546
unit.0.0.port.-1.s.23.alias=
547
unit.0.0.port.-1.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
548
unit.0.0.port.-1.s.23.name=/GECKO3COM_simple_1/s_receive_counter_zero
549
unit.0.0.port.-1.s.23.orderindex=-1
550
unit.0.0.port.-1.s.23.visible=1
551
unit.0.0.port.-1.s.24.alias=
552
unit.0.0.port.-1.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
553
unit.0.0.port.-1.s.24.name=/GECKO3COM_simple_1/s_receive_end_of_message_set
554
unit.0.0.port.-1.s.24.orderindex=-1
555
unit.0.0.port.-1.s.24.visible=1
556
unit.0.0.port.-1.s.25.alias=
557
unit.0.0.port.-1.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
558
unit.0.0.port.-1.s.25.name=/GECKO3COM_simple_1/s_receive_fifo_full
559
unit.0.0.port.-1.s.25.orderindex=-1
560
unit.0.0.port.-1.s.25.visible=1
561
unit.0.0.port.-1.s.26.alias=
562
unit.0.0.port.-1.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
563
unit.0.0.port.-1.s.26.name=/GECKO3COM_simple_1/s_receive_fifo_reset
564
unit.0.0.port.-1.s.26.orderindex=-1
565
unit.0.0.port.-1.s.26.visible=1
566
unit.0.0.port.-1.s.27.alias=
567
unit.0.0.port.-1.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
568
unit.0.0.port.-1.s.27.name=/GECKO3COM_simple_1/s_receive_fifo_wr_en
569
unit.0.0.port.-1.s.27.orderindex=-1
570
unit.0.0.port.-1.s.27.visible=1
571
unit.0.0.port.-1.s.28.alias=
572
unit.0.0.port.-1.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
573
unit.0.0.port.-1.s.28.name=/GECKO3COM_simple_1/s_receive_newdata_set
574
unit.0.0.port.-1.s.28.orderindex=-1
575
unit.0.0.port.-1.s.28.visible=1
576
unit.0.0.port.-1.s.29.alias=
577
unit.0.0.port.-1.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
578
unit.0.0.port.-1.s.29.name=/GECKO3COM_simple_1/s_receive_transfersize_en<0>
579
unit.0.0.port.-1.s.29.orderindex=-1
580
unit.0.0.port.-1.s.29.visible=0
581
unit.0.0.port.-1.s.3.alias=
582
unit.0.0.port.-1.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
583
unit.0.0.port.-1.s.3.name=/GECKO3COM_simple_1/i_send_have_more_data
584
unit.0.0.port.-1.s.3.orderindex=-1
585
unit.0.0.port.-1.s.3.visible=1
586
unit.0.0.port.-1.s.30.alias=
587
unit.0.0.port.-1.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
588
unit.0.0.port.-1.s.30.name=/GECKO3COM_simple_1/s_receive_transfersize_en<1>
589
unit.0.0.port.-1.s.30.orderindex=-1
590
unit.0.0.port.-1.s.30.visible=0
591
unit.0.0.port.-1.s.31.alias=
592
unit.0.0.port.-1.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
593
unit.0.0.port.-1.s.31.name=/GECKO3COM_simple_1/s_request_dev_dep_msg_in
594
unit.0.0.port.-1.s.31.orderindex=-1
595
unit.0.0.port.-1.s.31.visible=1
596
unit.0.0.port.-1.s.32.alias=
597
unit.0.0.port.-1.s.32.color=java.awt.Color[r\=0,g\=0,b\=124]
598
unit.0.0.port.-1.s.32.name=/GECKO3COM_simple_1/s_send_counter_en
599
unit.0.0.port.-1.s.32.orderindex=-1
600
unit.0.0.port.-1.s.32.visible=1
601
unit.0.0.port.-1.s.33.alias=
602
unit.0.0.port.-1.s.33.color=java.awt.Color[r\=0,g\=0,b\=124]
603
unit.0.0.port.-1.s.33.name=/GECKO3COM_simple_1/s_send_counter_load
604
unit.0.0.port.-1.s.33.orderindex=-1
605
unit.0.0.port.-1.s.33.visible=1
606
unit.0.0.port.-1.s.34.alias=
607
unit.0.0.port.-1.s.34.color=java.awt.Color[r\=0,g\=0,b\=124]
608
unit.0.0.port.-1.s.34.name=/GECKO3COM_simple_1/s_send_counter_zero
609
unit.0.0.port.-1.s.34.orderindex=-1
610
unit.0.0.port.-1.s.34.visible=1
611
unit.0.0.port.-1.s.35.alias=
612
unit.0.0.port.-1.s.35.color=java.awt.Color[r\=0,g\=0,b\=124]
613
unit.0.0.port.-1.s.35.name=/GECKO3COM_simple_1/s_send_data_request_set
614
unit.0.0.port.-1.s.35.orderindex=-1
615
unit.0.0.port.-1.s.35.visible=1
616
unit.0.0.port.-1.s.36.alias=
617
unit.0.0.port.-1.s.36.color=java.awt.Color[r\=0,g\=0,b\=124]
618
unit.0.0.port.-1.s.36.name=/GECKO3COM_simple_1/s_send_fifo_empty
619
unit.0.0.port.-1.s.36.orderindex=-1
620
unit.0.0.port.-1.s.36.visible=1
621
unit.0.0.port.-1.s.37.alias=
622
unit.0.0.port.-1.s.37.color=java.awt.Color[r\=0,g\=0,b\=124]
623
unit.0.0.port.-1.s.37.name=/GECKO3COM_simple_1/s_send_fifo_rd_en
624
unit.0.0.port.-1.s.37.orderindex=-1
625
unit.0.0.port.-1.s.37.visible=1
626
unit.0.0.port.-1.s.38.alias=
627
unit.0.0.port.-1.s.38.color=java.awt.Color[r\=0,g\=0,b\=124]
628
unit.0.0.port.-1.s.38.name=/GECKO3COM_simple_1/s_send_fifo_reset
629
unit.0.0.port.-1.s.38.orderindex=-1
630
unit.0.0.port.-1.s.38.visible=1
631
unit.0.0.port.-1.s.39.alias=
632
unit.0.0.port.-1.s.39.color=java.awt.Color[r\=0,g\=0,b\=124]
633
unit.0.0.port.-1.s.39.name=/GECKO3COM_simple_1/s_send_mux_sel<0>
634
unit.0.0.port.-1.s.39.orderindex=-1
635
unit.0.0.port.-1.s.39.visible=0
636
unit.0.0.port.-1.s.4.alias=
637
unit.0.0.port.-1.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
638
unit.0.0.port.-1.s.4.name=/GECKO3COM_simple_1/o_receive_end_of_message
639
unit.0.0.port.-1.s.4.orderindex=-1
640
unit.0.0.port.-1.s.4.visible=1
641
unit.0.0.port.-1.s.40.alias=
642
unit.0.0.port.-1.s.40.color=java.awt.Color[r\=0,g\=0,b\=124]
643
unit.0.0.port.-1.s.40.name=/GECKO3COM_simple_1/s_send_mux_sel<1>
644
unit.0.0.port.-1.s.40.orderindex=-1
645
unit.0.0.port.-1.s.40.visible=0
646
unit.0.0.port.-1.s.41.alias=
647
unit.0.0.port.-1.s.41.color=java.awt.Color[r\=0,g\=0,b\=124]
648
unit.0.0.port.-1.s.41.name=/GECKO3COM_simple_1/s_send_mux_sel<2>
649
unit.0.0.port.-1.s.41.orderindex=-1
650
unit.0.0.port.-1.s.41.visible=0
651
unit.0.0.port.-1.s.42.alias=
652
unit.0.0.port.-1.s.42.color=java.awt.Color[r\=0,g\=0,b\=124]
653
unit.0.0.port.-1.s.42.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd1
654
unit.0.0.port.-1.s.42.orderindex=-1
655 27 nussgipfel
unit.0.0.port.-1.s.42.visible=1
656 26 nussgipfel
unit.0.0.port.-1.s.43.alias=
657
unit.0.0.port.-1.s.43.color=java.awt.Color[r\=0,g\=0,b\=124]
658
unit.0.0.port.-1.s.43.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd2
659
unit.0.0.port.-1.s.43.orderindex=-1
660 27 nussgipfel
unit.0.0.port.-1.s.43.visible=1
661 26 nussgipfel
unit.0.0.port.-1.s.44.alias=
662
unit.0.0.port.-1.s.44.color=java.awt.Color[r\=0,g\=0,b\=124]
663
unit.0.0.port.-1.s.44.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd3
664
unit.0.0.port.-1.s.44.orderindex=-1
665 27 nussgipfel
unit.0.0.port.-1.s.44.visible=1
666 26 nussgipfel
unit.0.0.port.-1.s.45.alias=
667
unit.0.0.port.-1.s.45.color=java.awt.Color[r\=0,g\=0,b\=124]
668
unit.0.0.port.-1.s.45.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd4
669
unit.0.0.port.-1.s.45.orderindex=-1
670 27 nussgipfel
unit.0.0.port.-1.s.45.visible=1
671 26 nussgipfel
unit.0.0.port.-1.s.46.alias=
672
unit.0.0.port.-1.s.46.color=java.awt.Color[r\=0,g\=0,b\=124]
673
unit.0.0.port.-1.s.46.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd5
674
unit.0.0.port.-1.s.46.orderindex=-1
675 27 nussgipfel
unit.0.0.port.-1.s.46.visible=1
676 26 nussgipfel
unit.0.0.port.-1.s.47.alias=
677
unit.0.0.port.-1.s.47.color=java.awt.Color[r\=0,g\=0,b\=124]
678 27 nussgipfel
unit.0.0.port.-1.s.47.name=/s_send_counter_equals_transfer_size
679 26 nussgipfel
unit.0.0.port.-1.s.47.orderindex=-1
680 27 nussgipfel
unit.0.0.port.-1.s.47.visible=1
681 26 nussgipfel
unit.0.0.port.-1.s.48.alias=
682
unit.0.0.port.-1.s.48.color=java.awt.Color[r\=0,g\=0,b\=124]
683 27 nussgipfel
unit.0.0.port.-1.s.48.name=/s_send_transfersize_en
684 26 nussgipfel
unit.0.0.port.-1.s.48.orderindex=-1
685 27 nussgipfel
unit.0.0.port.-1.s.48.visible=1
686 26 nussgipfel
unit.0.0.port.-1.s.49.alias=
687
unit.0.0.port.-1.s.49.color=java.awt.Color[r\=0,g\=0,b\=124]
688 27 nussgipfel
unit.0.0.port.-1.s.49.name=/s_send_fifo_data<0>
689 26 nussgipfel
unit.0.0.port.-1.s.49.orderindex=-1
690
unit.0.0.port.-1.s.49.visible=0
691
unit.0.0.port.-1.s.5.alias=
692
unit.0.0.port.-1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
693
unit.0.0.port.-1.s.5.name=/GECKO3COM_simple_1/o_receive_fifo_empty
694
unit.0.0.port.-1.s.5.orderindex=-1
695
unit.0.0.port.-1.s.5.visible=1
696
unit.0.0.port.-1.s.50.alias=
697
unit.0.0.port.-1.s.50.color=java.awt.Color[r\=0,g\=0,b\=124]
698 27 nussgipfel
unit.0.0.port.-1.s.50.name=/s_send_fifo_data<1>
699 26 nussgipfel
unit.0.0.port.-1.s.50.orderindex=-1
700
unit.0.0.port.-1.s.50.visible=0
701
unit.0.0.port.-1.s.51.alias=
702
unit.0.0.port.-1.s.51.color=java.awt.Color[r\=0,g\=0,b\=124]
703 27 nussgipfel
unit.0.0.port.-1.s.51.name=/s_send_fifo_data<2>
704 26 nussgipfel
unit.0.0.port.-1.s.51.orderindex=-1
705
unit.0.0.port.-1.s.51.visible=0
706
unit.0.0.port.-1.s.52.alias=
707
unit.0.0.port.-1.s.52.color=java.awt.Color[r\=0,g\=0,b\=124]
708 27 nussgipfel
unit.0.0.port.-1.s.52.name=/s_send_fifo_data<3>
709 26 nussgipfel
unit.0.0.port.-1.s.52.orderindex=-1
710
unit.0.0.port.-1.s.52.visible=0
711
unit.0.0.port.-1.s.53.alias=
712
unit.0.0.port.-1.s.53.color=java.awt.Color[r\=0,g\=0,b\=124]
713 27 nussgipfel
unit.0.0.port.-1.s.53.name=/s_send_fifo_data<4>
714 26 nussgipfel
unit.0.0.port.-1.s.53.orderindex=-1
715
unit.0.0.port.-1.s.53.visible=0
716
unit.0.0.port.-1.s.54.alias=
717
unit.0.0.port.-1.s.54.color=java.awt.Color[r\=0,g\=0,b\=124]
718 27 nussgipfel
unit.0.0.port.-1.s.54.name=/s_send_fifo_data<5>
719 26 nussgipfel
unit.0.0.port.-1.s.54.orderindex=-1
720
unit.0.0.port.-1.s.54.visible=0
721
unit.0.0.port.-1.s.55.alias=
722
unit.0.0.port.-1.s.55.color=java.awt.Color[r\=0,g\=0,b\=124]
723 27 nussgipfel
unit.0.0.port.-1.s.55.name=/s_send_fifo_data<6>
724 26 nussgipfel
unit.0.0.port.-1.s.55.orderindex=-1
725
unit.0.0.port.-1.s.55.visible=0
726
unit.0.0.port.-1.s.56.alias=
727
unit.0.0.port.-1.s.56.color=java.awt.Color[r\=0,g\=0,b\=124]
728 27 nussgipfel
unit.0.0.port.-1.s.56.name=/s_send_fifo_data<7>
729 26 nussgipfel
unit.0.0.port.-1.s.56.orderindex=-1
730
unit.0.0.port.-1.s.56.visible=0
731
unit.0.0.port.-1.s.57.alias=
732
unit.0.0.port.-1.s.57.color=java.awt.Color[r\=0,g\=0,b\=124]
733 27 nussgipfel
unit.0.0.port.-1.s.57.name=/s_send_fifo_data<8>
734 26 nussgipfel
unit.0.0.port.-1.s.57.orderindex=-1
735
unit.0.0.port.-1.s.57.visible=0
736
unit.0.0.port.-1.s.58.alias=
737
unit.0.0.port.-1.s.58.color=java.awt.Color[r\=0,g\=0,b\=124]
738 27 nussgipfel
unit.0.0.port.-1.s.58.name=/s_send_fifo_data<9>
739 26 nussgipfel
unit.0.0.port.-1.s.58.orderindex=-1
740
unit.0.0.port.-1.s.58.visible=0
741
unit.0.0.port.-1.s.59.alias=
742
unit.0.0.port.-1.s.59.color=java.awt.Color[r\=0,g\=0,b\=124]
743 27 nussgipfel
unit.0.0.port.-1.s.59.name=/s_send_fifo_data<10>
744 26 nussgipfel
unit.0.0.port.-1.s.59.orderindex=-1
745
unit.0.0.port.-1.s.59.visible=0
746
unit.0.0.port.-1.s.6.alias=
747
unit.0.0.port.-1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
748
unit.0.0.port.-1.s.6.name=/GECKO3COM_simple_1/o_receive_newdata
749
unit.0.0.port.-1.s.6.orderindex=-1
750
unit.0.0.port.-1.s.6.visible=1
751
unit.0.0.port.-1.s.60.alias=
752
unit.0.0.port.-1.s.60.color=java.awt.Color[r\=0,g\=0,b\=124]
753 27 nussgipfel
unit.0.0.port.-1.s.60.name=/s_send_fifo_data<11>
754 26 nussgipfel
unit.0.0.port.-1.s.60.orderindex=-1
755
unit.0.0.port.-1.s.60.visible=0
756
unit.0.0.port.-1.s.61.alias=
757
unit.0.0.port.-1.s.61.color=java.awt.Color[r\=0,g\=0,b\=124]
758 27 nussgipfel
unit.0.0.port.-1.s.61.name=/s_send_fifo_data<12>
759 26 nussgipfel
unit.0.0.port.-1.s.61.orderindex=-1
760
unit.0.0.port.-1.s.61.visible=0
761
unit.0.0.port.-1.s.62.alias=
762
unit.0.0.port.-1.s.62.color=java.awt.Color[r\=0,g\=0,b\=124]
763 27 nussgipfel
unit.0.0.port.-1.s.62.name=/s_send_fifo_data<13>
764 26 nussgipfel
unit.0.0.port.-1.s.62.orderindex=-1
765
unit.0.0.port.-1.s.62.visible=0
766
unit.0.0.port.-1.s.63.alias=
767
unit.0.0.port.-1.s.63.color=java.awt.Color[r\=0,g\=0,b\=124]
768 27 nussgipfel
unit.0.0.port.-1.s.63.name=/s_send_fifo_data<14>
769 26 nussgipfel
unit.0.0.port.-1.s.63.orderindex=-1
770
unit.0.0.port.-1.s.63.visible=0
771
unit.0.0.port.-1.s.64.alias=
772
unit.0.0.port.-1.s.64.color=java.awt.Color[r\=0,g\=0,b\=124]
773 27 nussgipfel
unit.0.0.port.-1.s.64.name=/s_send_fifo_data<15>
774 26 nussgipfel
unit.0.0.port.-1.s.64.orderindex=-1
775
unit.0.0.port.-1.s.64.visible=0
776 27 nussgipfel
unit.0.0.port.-1.s.65.alias=
777 26 nussgipfel
unit.0.0.port.-1.s.65.color=java.awt.Color[r\=0,g\=0,b\=124]
778 27 nussgipfel
unit.0.0.port.-1.s.65.name=/s_send_fifo_data<16>
779 26 nussgipfel
unit.0.0.port.-1.s.65.orderindex=-1
780 27 nussgipfel
unit.0.0.port.-1.s.65.visible=0
781 26 nussgipfel
unit.0.0.port.-1.s.66.alias=
782
unit.0.0.port.-1.s.66.color=java.awt.Color[r\=0,g\=0,b\=124]
783 27 nussgipfel
unit.0.0.port.-1.s.66.name=/s_send_fifo_data<17>
784 26 nussgipfel
unit.0.0.port.-1.s.66.orderindex=-1
785 27 nussgipfel
unit.0.0.port.-1.s.66.visible=0
786 26 nussgipfel
unit.0.0.port.-1.s.67.alias=
787
unit.0.0.port.-1.s.67.color=java.awt.Color[r\=0,g\=0,b\=124]
788 27 nussgipfel
unit.0.0.port.-1.s.67.name=/s_send_fifo_data<18>
789 26 nussgipfel
unit.0.0.port.-1.s.67.orderindex=-1
790 27 nussgipfel
unit.0.0.port.-1.s.67.visible=0
791 26 nussgipfel
unit.0.0.port.-1.s.68.alias=
792
unit.0.0.port.-1.s.68.color=java.awt.Color[r\=0,g\=0,b\=124]
793 27 nussgipfel
unit.0.0.port.-1.s.68.name=/s_send_fifo_data<19>
794 26 nussgipfel
unit.0.0.port.-1.s.68.orderindex=-1
795 27 nussgipfel
unit.0.0.port.-1.s.68.visible=0
796 26 nussgipfel
unit.0.0.port.-1.s.69.alias=
797
unit.0.0.port.-1.s.69.color=java.awt.Color[r\=0,g\=0,b\=124]
798 27 nussgipfel
unit.0.0.port.-1.s.69.name=/s_send_fifo_data<20>
799 26 nussgipfel
unit.0.0.port.-1.s.69.orderindex=-1
800 27 nussgipfel
unit.0.0.port.-1.s.69.visible=0
801 26 nussgipfel
unit.0.0.port.-1.s.7.alias=
802
unit.0.0.port.-1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
803
unit.0.0.port.-1.s.7.name=/GECKO3COM_simple_1/o_send_data_request
804
unit.0.0.port.-1.s.7.orderindex=-1
805
unit.0.0.port.-1.s.7.visible=1
806
unit.0.0.port.-1.s.70.alias=
807
unit.0.0.port.-1.s.70.color=java.awt.Color[r\=0,g\=0,b\=124]
808 27 nussgipfel
unit.0.0.port.-1.s.70.name=/s_send_fifo_data<21>
809 26 nussgipfel
unit.0.0.port.-1.s.70.orderindex=-1
810 27 nussgipfel
unit.0.0.port.-1.s.70.visible=0
811 26 nussgipfel
unit.0.0.port.-1.s.71.alias=
812
unit.0.0.port.-1.s.71.color=java.awt.Color[r\=0,g\=0,b\=124]
813 27 nussgipfel
unit.0.0.port.-1.s.71.name=/s_send_fifo_data<22>
814 26 nussgipfel
unit.0.0.port.-1.s.71.orderindex=-1
815 27 nussgipfel
unit.0.0.port.-1.s.71.visible=0
816 26 nussgipfel
unit.0.0.port.-1.s.72.alias=
817
unit.0.0.port.-1.s.72.color=java.awt.Color[r\=0,g\=0,b\=124]
818 27 nussgipfel
unit.0.0.port.-1.s.72.name=/s_send_fifo_data<23>
819 26 nussgipfel
unit.0.0.port.-1.s.72.orderindex=-1
820 27 nussgipfel
unit.0.0.port.-1.s.72.visible=0
821 26 nussgipfel
unit.0.0.port.-1.s.73.alias=
822
unit.0.0.port.-1.s.73.color=java.awt.Color[r\=0,g\=0,b\=124]
823 27 nussgipfel
unit.0.0.port.-1.s.73.name=/s_send_have_more_data
824 26 nussgipfel
unit.0.0.port.-1.s.73.orderindex=-1
825
unit.0.0.port.-1.s.73.visible=1
826
unit.0.0.port.-1.s.74.alias=
827
unit.0.0.port.-1.s.74.color=java.awt.Color[r\=0,g\=0,b\=124]
828
unit.0.0.port.-1.s.74.name=/GECKO3COM_simple_1/s_gpif_rx_data<0>
829
unit.0.0.port.-1.s.74.orderindex=-1
830
unit.0.0.port.-1.s.74.visible=0
831
unit.0.0.port.-1.s.75.alias=
832
unit.0.0.port.-1.s.75.color=java.awt.Color[r\=0,g\=0,b\=124]
833
unit.0.0.port.-1.s.75.name=/GECKO3COM_simple_1/s_gpif_rx_data<10>
834
unit.0.0.port.-1.s.75.orderindex=-1
835
unit.0.0.port.-1.s.75.visible=0
836
unit.0.0.port.-1.s.76.alias=
837
unit.0.0.port.-1.s.76.color=java.awt.Color[r\=0,g\=0,b\=124]
838
unit.0.0.port.-1.s.76.name=/GECKO3COM_simple_1/s_gpif_rx_data<11>
839
unit.0.0.port.-1.s.76.orderindex=-1
840
unit.0.0.port.-1.s.76.visible=0
841
unit.0.0.port.-1.s.77.alias=
842
unit.0.0.port.-1.s.77.color=java.awt.Color[r\=0,g\=0,b\=124]
843
unit.0.0.port.-1.s.77.name=/GECKO3COM_simple_1/s_gpif_rx_data<12>
844
unit.0.0.port.-1.s.77.orderindex=-1
845
unit.0.0.port.-1.s.77.visible=0
846
unit.0.0.port.-1.s.78.alias=
847
unit.0.0.port.-1.s.78.color=java.awt.Color[r\=0,g\=0,b\=124]
848
unit.0.0.port.-1.s.78.name=/GECKO3COM_simple_1/s_gpif_rx_data<13>
849
unit.0.0.port.-1.s.78.orderindex=-1
850
unit.0.0.port.-1.s.78.visible=0
851
unit.0.0.port.-1.s.79.alias=
852
unit.0.0.port.-1.s.79.color=java.awt.Color[r\=0,g\=0,b\=124]
853
unit.0.0.port.-1.s.79.name=/GECKO3COM_simple_1/s_gpif_rx_data<14>
854
unit.0.0.port.-1.s.79.orderindex=-1
855
unit.0.0.port.-1.s.79.visible=0
856
unit.0.0.port.-1.s.8.alias=
857
unit.0.0.port.-1.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
858
unit.0.0.port.-1.s.8.name=/GECKO3COM_simple_1/o_send_fifo_full
859
unit.0.0.port.-1.s.8.orderindex=-1
860
unit.0.0.port.-1.s.8.visible=1
861
unit.0.0.port.-1.s.80.alias=
862
unit.0.0.port.-1.s.80.color=java.awt.Color[r\=0,g\=0,b\=124]
863
unit.0.0.port.-1.s.80.name=/GECKO3COM_simple_1/s_gpif_rx_data<15>
864
unit.0.0.port.-1.s.80.orderindex=-1
865
unit.0.0.port.-1.s.80.visible=0
866
unit.0.0.port.-1.s.81.alias=
867
unit.0.0.port.-1.s.81.color=java.awt.Color[r\=0,g\=0,b\=124]
868
unit.0.0.port.-1.s.81.name=/GECKO3COM_simple_1/s_gpif_rx_data<1>
869
unit.0.0.port.-1.s.81.orderindex=-1
870
unit.0.0.port.-1.s.81.visible=0
871
unit.0.0.port.-1.s.82.alias=
872
unit.0.0.port.-1.s.82.color=java.awt.Color[r\=0,g\=0,b\=124]
873
unit.0.0.port.-1.s.82.name=/GECKO3COM_simple_1/s_gpif_rx_data<2>
874
unit.0.0.port.-1.s.82.orderindex=-1
875
unit.0.0.port.-1.s.82.visible=0
876
unit.0.0.port.-1.s.83.alias=
877
unit.0.0.port.-1.s.83.color=java.awt.Color[r\=0,g\=0,b\=124]
878
unit.0.0.port.-1.s.83.name=/GECKO3COM_simple_1/s_gpif_rx_data<3>
879
unit.0.0.port.-1.s.83.orderindex=-1
880
unit.0.0.port.-1.s.83.visible=0
881
unit.0.0.port.-1.s.84.alias=
882
unit.0.0.port.-1.s.84.color=java.awt.Color[r\=0,g\=0,b\=124]
883
unit.0.0.port.-1.s.84.name=/GECKO3COM_simple_1/s_gpif_rx_data<4>
884
unit.0.0.port.-1.s.84.orderindex=-1
885
unit.0.0.port.-1.s.84.visible=0
886
unit.0.0.port.-1.s.85.alias=
887
unit.0.0.port.-1.s.85.color=java.awt.Color[r\=0,g\=0,b\=124]
888
unit.0.0.port.-1.s.85.name=/GECKO3COM_simple_1/s_gpif_rx_data<5>
889
unit.0.0.port.-1.s.85.orderindex=-1
890
unit.0.0.port.-1.s.85.visible=0
891
unit.0.0.port.-1.s.86.alias=
892
unit.0.0.port.-1.s.86.color=java.awt.Color[r\=0,g\=0,b\=124]
893
unit.0.0.port.-1.s.86.name=/GECKO3COM_simple_1/s_gpif_rx_data<6>
894
unit.0.0.port.-1.s.86.orderindex=-1
895
unit.0.0.port.-1.s.86.visible=0
896
unit.0.0.port.-1.s.87.alias=
897
unit.0.0.port.-1.s.87.color=java.awt.Color[r\=0,g\=0,b\=124]
898
unit.0.0.port.-1.s.87.name=/GECKO3COM_simple_1/s_gpif_rx_data<7>
899
unit.0.0.port.-1.s.87.orderindex=-1
900
unit.0.0.port.-1.s.87.visible=0
901
unit.0.0.port.-1.s.88.alias=
902
unit.0.0.port.-1.s.88.color=java.awt.Color[r\=0,g\=0,b\=124]
903
unit.0.0.port.-1.s.88.name=/GECKO3COM_simple_1/s_gpif_rx_data<8>
904
unit.0.0.port.-1.s.88.orderindex=-1
905
unit.0.0.port.-1.s.88.visible=0
906
unit.0.0.port.-1.s.89.alias=
907
unit.0.0.port.-1.s.89.color=java.awt.Color[r\=0,g\=0,b\=124]
908
unit.0.0.port.-1.s.89.name=/GECKO3COM_simple_1/s_gpif_rx_data<9>
909
unit.0.0.port.-1.s.89.orderindex=-1
910
unit.0.0.port.-1.s.89.visible=0
911
unit.0.0.port.-1.s.9.alias=
912
unit.0.0.port.-1.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
913
unit.0.0.port.-1.s.9.name=/GECKO3COM_simple_1/o_send_finished
914
unit.0.0.port.-1.s.9.orderindex=-1
915
unit.0.0.port.-1.s.9.visible=1
916
unit.0.0.port.-1.s.90.alias=
917
unit.0.0.port.-1.s.90.color=java.awt.Color[r\=0,g\=0,b\=124]
918
unit.0.0.port.-1.s.90.name=/GECKO3COM_simple_1/o_receive_transfersize<0>
919
unit.0.0.port.-1.s.90.orderindex=-1
920
unit.0.0.port.-1.s.90.visible=1
921
unit.0.0.port.-1.s.91.alias=
922
unit.0.0.port.-1.s.91.color=java.awt.Color[r\=0,g\=0,b\=124]
923 27 nussgipfel
unit.0.0.port.-1.s.91.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count
924 26 nussgipfel
unit.0.0.port.-1.s.91.orderindex=-1
925
unit.0.0.port.-1.s.91.visible=0
926
unit.0.0.port.-1.s.92.alias=
927
unit.0.0.port.-1.s.92.color=java.awt.Color[r\=0,g\=0,b\=124]
928 27 nussgipfel
unit.0.0.port.-1.s.92.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count1
929 26 nussgipfel
unit.0.0.port.-1.s.92.orderindex=-1
930
unit.0.0.port.-1.s.92.visible=0
931
unit.0.0.port.-1.s.93.alias=
932
unit.0.0.port.-1.s.93.color=java.awt.Color[r\=0,g\=0,b\=124]
933 27 nussgipfel
unit.0.0.port.-1.s.93.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count2
934 26 nussgipfel
unit.0.0.port.-1.s.93.orderindex=-1
935
unit.0.0.port.-1.s.93.visible=0
936
unit.0.0.port.-1.s.94.alias=
937
unit.0.0.port.-1.s.94.color=java.awt.Color[r\=0,g\=0,b\=124]
938 27 nussgipfel
unit.0.0.port.-1.s.94.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count3
939 26 nussgipfel
unit.0.0.port.-1.s.94.orderindex=-1
940
unit.0.0.port.-1.s.94.visible=0
941
unit.0.0.port.-1.s.95.alias=
942
unit.0.0.port.-1.s.95.color=java.awt.Color[r\=0,g\=0,b\=124]
943 27 nussgipfel
unit.0.0.port.-1.s.95.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count4
944 26 nussgipfel
unit.0.0.port.-1.s.95.orderindex=-1
945
unit.0.0.port.-1.s.95.visible=0
946
unit.0.0.port.-1.s.96.alias=
947
unit.0.0.port.-1.s.96.color=java.awt.Color[r\=0,g\=0,b\=124]
948 27 nussgipfel
unit.0.0.port.-1.s.96.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count5
949 26 nussgipfel
unit.0.0.port.-1.s.96.orderindex=-1
950
unit.0.0.port.-1.s.96.visible=0
951
unit.0.0.port.-1.s.97.alias=
952
unit.0.0.port.-1.s.97.color=java.awt.Color[r\=0,g\=0,b\=124]
953 27 nussgipfel
unit.0.0.port.-1.s.97.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count6
954 26 nussgipfel
unit.0.0.port.-1.s.97.orderindex=-1
955
unit.0.0.port.-1.s.97.visible=0
956
unit.0.0.port.-1.s.98.alias=
957
unit.0.0.port.-1.s.98.color=java.awt.Color[r\=0,g\=0,b\=124]
958 27 nussgipfel
unit.0.0.port.-1.s.98.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count7
959 26 nussgipfel
unit.0.0.port.-1.s.98.orderindex=-1
960
unit.0.0.port.-1.s.98.visible=0
961
unit.0.0.port.-1.s.99.alias=
962
unit.0.0.port.-1.s.99.color=java.awt.Color[r\=0,g\=0,b\=124]
963 27 nussgipfel
unit.0.0.port.-1.s.99.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count8
964 26 nussgipfel
unit.0.0.port.-1.s.99.orderindex=-1
965
unit.0.0.port.-1.s.99.visible=0
966
unit.0.0.port.0.b.0.alias=
967
unit.0.0.port.0.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11
968
unit.0.0.port.0.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
969
unit.0.0.port.0.b.0.name=TriggerPort0
970
unit.0.0.port.0.b.0.orderindex=-1
971
unit.0.0.port.0.b.0.radix=Hex
972
unit.0.0.port.0.b.0.signedOffset=0.0
973
unit.0.0.port.0.b.0.signedPrecision=0
974
unit.0.0.port.0.b.0.signedScaleFactor=1.0
975
unit.0.0.port.0.b.0.unsignedOffset=0.0
976
unit.0.0.port.0.b.0.unsignedPrecision=0
977
unit.0.0.port.0.b.0.unsignedScaleFactor=1.0
978
unit.0.0.port.0.b.0.visible=1
979
unit.0.0.port.0.buscount=1
980
unit.0.0.port.0.channelcount=12
981
unit.0.0.port.0.s.0.alias=
982
unit.0.0.port.0.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
983
unit.0.0.port.0.s.0.name=/GECKO3COM_simple_1/s_dev_dep_msg_out
984
unit.0.0.port.0.s.0.orderindex=-1
985
unit.0.0.port.0.s.0.visible=1
986
unit.0.0.port.0.s.1.alias=
987
unit.0.0.port.0.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
988
unit.0.0.port.0.s.1.name=/GECKO3COM_simple_1/s_btag_correct
989
unit.0.0.port.0.s.1.orderindex=-1
990
unit.0.0.port.0.s.1.visible=1
991
unit.0.0.port.0.s.10.alias=
992
unit.0.0.port.0.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
993
unit.0.0.port.0.s.10.name=/s_send_transfersize_en
994
unit.0.0.port.0.s.10.orderindex=-1
995
unit.0.0.port.0.s.10.visible=1
996
unit.0.0.port.0.s.11.alias=
997
unit.0.0.port.0.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
998
unit.0.0.port.0.s.11.name=/s_transfer_size_reg_en
999
unit.0.0.port.0.s.11.orderindex=-1
1000
unit.0.0.port.0.s.11.visible=1
1001
unit.0.0.port.0.s.2.alias=
1002
unit.0.0.port.0.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
1003 27 nussgipfel
unit.0.0.port.0.s.2.name=/GECKO3COM_simple_1/s_request_dev_dep_msg_in
1004 26 nussgipfel
unit.0.0.port.0.s.2.orderindex=-1
1005
unit.0.0.port.0.s.2.visible=1
1006
unit.0.0.port.0.s.3.alias=
1007
unit.0.0.port.0.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
1008
unit.0.0.port.0.s.3.name=/GECKO3COM_simple_1/s_gpif_rx_rd_en
1009
unit.0.0.port.0.s.3.orderindex=-1
1010
unit.0.0.port.0.s.3.visible=1
1011
unit.0.0.port.0.s.4.alias=
1012
unit.0.0.port.0.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
1013
unit.0.0.port.0.s.4.name=/GECKO3COM_simple_1/s_btag_reg_en
1014
unit.0.0.port.0.s.4.orderindex=-1
1015
unit.0.0.port.0.s.4.visible=1
1016
unit.0.0.port.0.s.5.alias=
1017
unit.0.0.port.0.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
1018
unit.0.0.port.0.s.5.name=/GECKO3COM_simple_1/s_gpif_abort
1019
unit.0.0.port.0.s.5.orderindex=-1
1020
unit.0.0.port.0.s.5.visible=1
1021
unit.0.0.port.0.s.6.alias=
1022
unit.0.0.port.0.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
1023
unit.0.0.port.0.s.6.name=/s_send_data_request
1024
unit.0.0.port.0.s.6.orderindex=-1
1025
unit.0.0.port.0.s.6.visible=1
1026
unit.0.0.port.0.s.7.alias=
1027
unit.0.0.port.0.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
1028
unit.0.0.port.0.s.7.name=/s_send_fifo_full
1029
unit.0.0.port.0.s.7.orderindex=-1
1030
unit.0.0.port.0.s.7.visible=1
1031
unit.0.0.port.0.s.8.alias=
1032
unit.0.0.port.0.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
1033 27 nussgipfel
unit.0.0.port.0.s.8.name=/GECKO3COM_simple_1/i_send_fifo_wr_en
1034 26 nussgipfel
unit.0.0.port.0.s.8.orderindex=-1
1035
unit.0.0.port.0.s.8.visible=1
1036
unit.0.0.port.0.s.9.alias=
1037
unit.0.0.port.0.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
1038
unit.0.0.port.0.s.9.name=/s_send_have_more_data
1039
unit.0.0.port.0.s.9.orderindex=-1
1040
unit.0.0.port.0.s.9.visible=1
1041
unit.0.0.portcount=1
1042
unit.0.0.samplesPerTrigger=1
1043
unit.0.0.triggerCapture=1
1044
unit.0.0.triggerNSamplesTS=0
1045
unit.0.0.triggerPosition=100
1046
unit.0.0.triggerWindowCount=1
1047
unit.0.0.triggerWindowDepth=512
1048
unit.0.0.triggerWindowTS=0
1049
unit.0.0.username=MyILA0
1050 27 nussgipfel
unit.0.0.waveform.count=49
1051 26 nussgipfel
unit.0.0.waveform.posn.0.channel=0
1052
unit.0.0.waveform.posn.0.name=/GECKO3COM_simple_1/i_nReset
1053 27 nussgipfel
unit.0.0.waveform.posn.0.radix=1
1054 26 nussgipfel
unit.0.0.waveform.posn.0.type=signal
1055
unit.0.0.waveform.posn.1.channel=1
1056
unit.0.0.waveform.posn.1.name=/GECKO3COM_simple_1/i_receive_fifo_rd_en
1057
unit.0.0.waveform.posn.1.type=signal
1058
unit.0.0.waveform.posn.10.channel=10
1059
unit.0.0.waveform.posn.10.name=/GECKO3COM_simple_1/s_btag_correct
1060
unit.0.0.waveform.posn.10.type=signal
1061 27 nussgipfel
unit.0.0.waveform.posn.100.channel=2147483646
1062
unit.0.0.waveform.posn.100.name=s_receive_transfersize_en
1063
unit.0.0.waveform.posn.100.radix=1
1064
unit.0.0.waveform.posn.100.type=bus
1065
unit.0.0.waveform.posn.101.channel=2147483646
1066
unit.0.0.waveform.posn.101.name=s_receive_transfersize_en
1067
unit.0.0.waveform.posn.101.radix=1
1068
unit.0.0.waveform.posn.101.type=bus
1069
unit.0.0.waveform.posn.102.channel=2147483646
1070
unit.0.0.waveform.posn.102.name=s_receive_transfersize_en
1071
unit.0.0.waveform.posn.102.radix=1
1072
unit.0.0.waveform.posn.102.type=bus
1073
unit.0.0.waveform.posn.103.channel=2147483646
1074
unit.0.0.waveform.posn.103.name=s_receive_transfersize_en
1075
unit.0.0.waveform.posn.103.radix=1
1076
unit.0.0.waveform.posn.103.type=bus
1077
unit.0.0.waveform.posn.104.channel=2147483646
1078
unit.0.0.waveform.posn.104.name=s_receive_transfersize_en
1079
unit.0.0.waveform.posn.104.radix=1
1080
unit.0.0.waveform.posn.104.type=bus
1081
unit.0.0.waveform.posn.105.channel=2147483646
1082
unit.0.0.waveform.posn.105.name=s_receive_transfersize_en
1083
unit.0.0.waveform.posn.105.radix=1
1084
unit.0.0.waveform.posn.105.type=bus
1085
unit.0.0.waveform.posn.106.channel=2147483646
1086
unit.0.0.waveform.posn.106.name=s_receive_transfersize_en
1087
unit.0.0.waveform.posn.106.radix=1
1088
unit.0.0.waveform.posn.106.type=bus
1089
unit.0.0.waveform.posn.107.channel=2147483646
1090
unit.0.0.waveform.posn.107.name=s_receive_transfersize_en
1091
unit.0.0.waveform.posn.107.radix=1
1092
unit.0.0.waveform.posn.107.type=bus
1093
unit.0.0.waveform.posn.108.channel=2147483646
1094
unit.0.0.waveform.posn.108.name=s_receive_transfersize_en
1095
unit.0.0.waveform.posn.108.radix=1
1096
unit.0.0.waveform.posn.108.type=bus
1097
unit.0.0.waveform.posn.109.channel=2147483646
1098
unit.0.0.waveform.posn.109.name=s_receive_transfersize_en
1099
unit.0.0.waveform.posn.109.radix=1
1100
unit.0.0.waveform.posn.109.type=bus
1101 26 nussgipfel
unit.0.0.waveform.posn.11.channel=11
1102
unit.0.0.waveform.posn.11.name=/GECKO3COM_simple_1/s_btag_reg_en
1103
unit.0.0.waveform.posn.11.type=signal
1104 27 nussgipfel
unit.0.0.waveform.posn.110.channel=2147483646
1105
unit.0.0.waveform.posn.110.name=s_receive_transfersize_en
1106
unit.0.0.waveform.posn.110.radix=1
1107
unit.0.0.waveform.posn.110.type=bus
1108
unit.0.0.waveform.posn.111.channel=2147483646
1109
unit.0.0.waveform.posn.111.name=s_receive_transfersize_en
1110
unit.0.0.waveform.posn.111.radix=1
1111
unit.0.0.waveform.posn.111.type=bus
1112
unit.0.0.waveform.posn.112.channel=2147483646
1113
unit.0.0.waveform.posn.112.name=s_receive_transfersize_en
1114
unit.0.0.waveform.posn.112.radix=1
1115
unit.0.0.waveform.posn.112.type=bus
1116
unit.0.0.waveform.posn.113.channel=2147483646
1117
unit.0.0.waveform.posn.113.name=s_receive_transfersize_en
1118
unit.0.0.waveform.posn.113.radix=1
1119
unit.0.0.waveform.posn.113.type=bus
1120
unit.0.0.waveform.posn.114.channel=2147483646
1121
unit.0.0.waveform.posn.114.name=s_receive_transfersize_en
1122
unit.0.0.waveform.posn.114.radix=1
1123
unit.0.0.waveform.posn.114.type=bus
1124
unit.0.0.waveform.posn.115.channel=2147483646
1125
unit.0.0.waveform.posn.115.name=s_receive_transfersize_en
1126
unit.0.0.waveform.posn.115.radix=1
1127
unit.0.0.waveform.posn.115.type=bus
1128
unit.0.0.waveform.posn.116.channel=2147483646
1129
unit.0.0.waveform.posn.116.name=s_receive_transfersize_en
1130
unit.0.0.waveform.posn.116.radix=1
1131
unit.0.0.waveform.posn.116.type=bus
1132
unit.0.0.waveform.posn.117.channel=2147483646
1133
unit.0.0.waveform.posn.117.name=s_receive_transfersize_en
1134
unit.0.0.waveform.posn.117.radix=1
1135
unit.0.0.waveform.posn.117.type=bus
1136
unit.0.0.waveform.posn.118.channel=2147483646
1137
unit.0.0.waveform.posn.118.name=s_receive_transfersize_en
1138
unit.0.0.waveform.posn.118.radix=1
1139
unit.0.0.waveform.posn.118.type=bus
1140
unit.0.0.waveform.posn.119.channel=2147483646
1141
unit.0.0.waveform.posn.119.name=s_receive_transfersize_en
1142
unit.0.0.waveform.posn.119.radix=1
1143
unit.0.0.waveform.posn.119.type=bus
1144 26 nussgipfel
unit.0.0.waveform.posn.12.channel=12
1145
unit.0.0.waveform.posn.12.name=/GECKO3COM_simple_1/s_dev_dep_msg_out
1146
unit.0.0.waveform.posn.12.type=signal
1147 27 nussgipfel
unit.0.0.waveform.posn.120.channel=2147483646
1148
unit.0.0.waveform.posn.120.name=s_receive_transfersize_en
1149
unit.0.0.waveform.posn.120.radix=1
1150
unit.0.0.waveform.posn.120.type=bus
1151
unit.0.0.waveform.posn.121.channel=2147483646
1152
unit.0.0.waveform.posn.121.name=s_receive_transfersize_en
1153
unit.0.0.waveform.posn.121.radix=1
1154
unit.0.0.waveform.posn.121.type=bus
1155
unit.0.0.waveform.posn.122.channel=2147483646
1156
unit.0.0.waveform.posn.122.name=s_receive_transfersize_en
1157
unit.0.0.waveform.posn.122.radix=1
1158
unit.0.0.waveform.posn.122.type=bus
1159
unit.0.0.waveform.posn.123.channel=2147483646
1160
unit.0.0.waveform.posn.123.name=s_receive_transfersize_en
1161
unit.0.0.waveform.posn.123.radix=1
1162
unit.0.0.waveform.posn.123.type=bus
1163
unit.0.0.waveform.posn.124.channel=2147483646
1164
unit.0.0.waveform.posn.124.name=s_receive_transfersize_en
1165
unit.0.0.waveform.posn.124.radix=1
1166
unit.0.0.waveform.posn.124.type=bus
1167
unit.0.0.waveform.posn.125.channel=2147483646
1168
unit.0.0.waveform.posn.125.name=s_receive_transfersize_en
1169
unit.0.0.waveform.posn.125.radix=1
1170
unit.0.0.waveform.posn.125.type=bus
1171
unit.0.0.waveform.posn.126.channel=2147483646
1172
unit.0.0.waveform.posn.126.name=s_receive_transfersize_en
1173
unit.0.0.waveform.posn.126.radix=1
1174
unit.0.0.waveform.posn.126.type=bus
1175
unit.0.0.waveform.posn.127.channel=2147483646
1176
unit.0.0.waveform.posn.127.name=s_receive_transfersize_en
1177
unit.0.0.waveform.posn.127.radix=1
1178
unit.0.0.waveform.posn.127.type=bus
1179
unit.0.0.waveform.posn.128.channel=2147483646
1180
unit.0.0.waveform.posn.128.name=s_receive_transfersize_en
1181
unit.0.0.waveform.posn.128.radix=1
1182
unit.0.0.waveform.posn.128.type=bus
1183
unit.0.0.waveform.posn.129.channel=2147483646
1184
unit.0.0.waveform.posn.129.name=s_receive_transfersize_en
1185
unit.0.0.waveform.posn.129.radix=1
1186
unit.0.0.waveform.posn.129.type=bus
1187 26 nussgipfel
unit.0.0.waveform.posn.13.channel=13
1188
unit.0.0.waveform.posn.13.name=/GECKO3COM_simple_1/s_eom_bit_detected
1189
unit.0.0.waveform.posn.13.type=signal
1190 27 nussgipfel
unit.0.0.waveform.posn.130.channel=2147483646
1191
unit.0.0.waveform.posn.130.name=s_receive_transfersize_en
1192
unit.0.0.waveform.posn.130.radix=1
1193
unit.0.0.waveform.posn.130.type=bus
1194
unit.0.0.waveform.posn.131.channel=2147483646
1195
unit.0.0.waveform.posn.131.name=s_receive_transfersize_en
1196
unit.0.0.waveform.posn.131.radix=1
1197
unit.0.0.waveform.posn.131.type=bus
1198
unit.0.0.waveform.posn.132.channel=2147483646
1199
unit.0.0.waveform.posn.132.name=s_receive_transfersize_en
1200
unit.0.0.waveform.posn.132.radix=1
1201
unit.0.0.waveform.posn.132.type=bus
1202
unit.0.0.waveform.posn.133.channel=2147483646
1203
unit.0.0.waveform.posn.133.name=s_receive_transfersize_en
1204
unit.0.0.waveform.posn.133.radix=1
1205
unit.0.0.waveform.posn.133.type=bus
1206
unit.0.0.waveform.posn.134.channel=2147483646
1207
unit.0.0.waveform.posn.134.name=s_receive_transfersize_en
1208
unit.0.0.waveform.posn.134.radix=1
1209
unit.0.0.waveform.posn.134.type=bus
1210
unit.0.0.waveform.posn.135.channel=2147483646
1211
unit.0.0.waveform.posn.135.name=s_receive_transfersize_en
1212
unit.0.0.waveform.posn.135.radix=1
1213
unit.0.0.waveform.posn.135.type=bus
1214
unit.0.0.waveform.posn.136.channel=2147483646
1215
unit.0.0.waveform.posn.136.name=s_receive_transfersize_en
1216
unit.0.0.waveform.posn.136.radix=1
1217
unit.0.0.waveform.posn.136.type=bus
1218
unit.0.0.waveform.posn.137.channel=2147483646
1219
unit.0.0.waveform.posn.137.name=s_receive_transfersize_en
1220
unit.0.0.waveform.posn.137.radix=1
1221
unit.0.0.waveform.posn.137.type=bus
1222
unit.0.0.waveform.posn.138.channel=2147483646
1223
unit.0.0.waveform.posn.138.name=s_receive_transfersize_en
1224
unit.0.0.waveform.posn.138.radix=1
1225
unit.0.0.waveform.posn.138.type=bus
1226
unit.0.0.waveform.posn.139.channel=2147483646
1227
unit.0.0.waveform.posn.139.name=s_receive_transfersize_en
1228
unit.0.0.waveform.posn.139.radix=1
1229
unit.0.0.waveform.posn.139.type=bus
1230 26 nussgipfel
unit.0.0.waveform.posn.14.channel=14
1231
unit.0.0.waveform.posn.14.name=/GECKO3COM_simple_1/s_gpif_abort
1232
unit.0.0.waveform.posn.14.type=signal
1233 27 nussgipfel
unit.0.0.waveform.posn.140.channel=2147483646
1234
unit.0.0.waveform.posn.140.name=s_receive_transfersize_en
1235
unit.0.0.waveform.posn.140.radix=1
1236
unit.0.0.waveform.posn.140.type=bus
1237
unit.0.0.waveform.posn.141.channel=2147483646
1238
unit.0.0.waveform.posn.141.name=s_receive_transfersize_en
1239
unit.0.0.waveform.posn.141.radix=1
1240
unit.0.0.waveform.posn.141.type=bus
1241 26 nussgipfel
unit.0.0.waveform.posn.142.channel=145
1242
unit.0.0.waveform.posn.142.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1243
unit.0.0.waveform.posn.142.type=signal
1244
unit.0.0.waveform.posn.143.channel=145
1245
unit.0.0.waveform.posn.143.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1246
unit.0.0.waveform.posn.143.type=signal
1247
unit.0.0.waveform.posn.144.channel=145
1248
unit.0.0.waveform.posn.144.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1249
unit.0.0.waveform.posn.144.type=signal
1250
unit.0.0.waveform.posn.145.channel=145
1251
unit.0.0.waveform.posn.145.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
1252
unit.0.0.waveform.posn.145.type=signal
1253
unit.0.0.waveform.posn.15.channel=15
1254
unit.0.0.waveform.posn.15.name=/GECKO3COM_simple_1/s_gpif_eom
1255
unit.0.0.waveform.posn.15.type=signal
1256
unit.0.0.waveform.posn.16.channel=16
1257
unit.0.0.waveform.posn.16.name=/GECKO3COM_simple_1/s_gpif_rx_empty
1258
unit.0.0.waveform.posn.16.type=signal
1259
unit.0.0.waveform.posn.17.channel=17
1260
unit.0.0.waveform.posn.17.name=/GECKO3COM_simple_1/s_gpif_rx_rd_en
1261
unit.0.0.waveform.posn.17.type=signal
1262
unit.0.0.waveform.posn.18.channel=18
1263
unit.0.0.waveform.posn.18.name=/GECKO3COM_simple_1/s_gpif_tx_full
1264
unit.0.0.waveform.posn.18.type=signal
1265
unit.0.0.waveform.posn.19.channel=19
1266
unit.0.0.waveform.posn.19.name=/GECKO3COM_simple_1/s_gpif_tx_wr_en
1267
unit.0.0.waveform.posn.19.type=signal
1268
unit.0.0.waveform.posn.2.channel=2
1269
unit.0.0.waveform.posn.2.name=/GECKO3COM_simple_1/i_send_fifo_wr_en
1270
unit.0.0.waveform.posn.2.type=signal
1271
unit.0.0.waveform.posn.20.channel=20
1272
unit.0.0.waveform.posn.20.name=/GECKO3COM_simple_1/s_nbtag_reg_en
1273
unit.0.0.waveform.posn.20.type=signal
1274
unit.0.0.waveform.posn.21.channel=21
1275
unit.0.0.waveform.posn.21.name=/GECKO3COM_simple_1/s_receive_counter_en
1276
unit.0.0.waveform.posn.21.type=signal
1277
unit.0.0.waveform.posn.22.channel=22
1278
unit.0.0.waveform.posn.22.name=/GECKO3COM_simple_1/s_receive_counter_load
1279 27 nussgipfel
unit.0.0.waveform.posn.22.radix=1
1280 26 nussgipfel
unit.0.0.waveform.posn.22.type=signal
1281
unit.0.0.waveform.posn.23.channel=23
1282
unit.0.0.waveform.posn.23.name=/GECKO3COM_simple_1/s_receive_counter_zero
1283 27 nussgipfel
unit.0.0.waveform.posn.23.radix=1
1284 26 nussgipfel
unit.0.0.waveform.posn.23.type=signal
1285
unit.0.0.waveform.posn.24.channel=24
1286
unit.0.0.waveform.posn.24.name=/GECKO3COM_simple_1/s_receive_end_of_message_set
1287
unit.0.0.waveform.posn.24.type=signal
1288
unit.0.0.waveform.posn.25.channel=25
1289
unit.0.0.waveform.posn.25.name=/GECKO3COM_simple_1/s_receive_fifo_full
1290
unit.0.0.waveform.posn.25.type=signal
1291 27 nussgipfel
unit.0.0.waveform.posn.26.channel=26
1292
unit.0.0.waveform.posn.26.name=/GECKO3COM_simple_1/s_receive_fifo_reset
1293 26 nussgipfel
unit.0.0.waveform.posn.26.type=signal
1294 27 nussgipfel
unit.0.0.waveform.posn.27.channel=27
1295
unit.0.0.waveform.posn.27.name=/GECKO3COM_simple_1/s_receive_fifo_wr_en
1296 26 nussgipfel
unit.0.0.waveform.posn.27.type=signal
1297 27 nussgipfel
unit.0.0.waveform.posn.28.channel=28
1298
unit.0.0.waveform.posn.28.name=/GECKO3COM_simple_1/s_receive_newdata_set
1299 26 nussgipfel
unit.0.0.waveform.posn.28.type=signal
1300 27 nussgipfel
unit.0.0.waveform.posn.29.channel=31
1301
unit.0.0.waveform.posn.29.name=/GECKO3COM_simple_1/s_request_dev_dep_msg_in
1302 26 nussgipfel
unit.0.0.waveform.posn.29.type=signal
1303
unit.0.0.waveform.posn.3.channel=3
1304
unit.0.0.waveform.posn.3.name=/GECKO3COM_simple_1/i_send_have_more_data
1305
unit.0.0.waveform.posn.3.type=signal
1306 27 nussgipfel
unit.0.0.waveform.posn.30.channel=32
1307
unit.0.0.waveform.posn.30.name=/GECKO3COM_simple_1/s_send_counter_en
1308 26 nussgipfel
unit.0.0.waveform.posn.30.type=signal
1309 27 nussgipfel
unit.0.0.waveform.posn.31.channel=33
1310
unit.0.0.waveform.posn.31.name=/GECKO3COM_simple_1/s_send_counter_load
1311 26 nussgipfel
unit.0.0.waveform.posn.31.type=signal
1312 27 nussgipfel
unit.0.0.waveform.posn.32.channel=34
1313
unit.0.0.waveform.posn.32.name=/GECKO3COM_simple_1/s_send_counter_zero
1314 26 nussgipfel
unit.0.0.waveform.posn.32.type=signal
1315 27 nussgipfel
unit.0.0.waveform.posn.33.channel=35
1316
unit.0.0.waveform.posn.33.name=/GECKO3COM_simple_1/s_send_data_request_set
1317 26 nussgipfel
unit.0.0.waveform.posn.33.type=signal
1318 27 nussgipfel
unit.0.0.waveform.posn.34.channel=36
1319
unit.0.0.waveform.posn.34.name=/GECKO3COM_simple_1/s_send_fifo_empty
1320 26 nussgipfel
unit.0.0.waveform.posn.34.type=signal
1321 27 nussgipfel
unit.0.0.waveform.posn.35.channel=37
1322
unit.0.0.waveform.posn.35.name=/GECKO3COM_simple_1/s_send_fifo_rd_en
1323 26 nussgipfel
unit.0.0.waveform.posn.35.type=signal
1324 27 nussgipfel
unit.0.0.waveform.posn.36.channel=38
1325
unit.0.0.waveform.posn.36.name=/GECKO3COM_simple_1/s_send_fifo_reset
1326 26 nussgipfel
unit.0.0.waveform.posn.36.type=signal
1327 27 nussgipfel
unit.0.0.waveform.posn.37.channel=47
1328
unit.0.0.waveform.posn.37.name=/s_send_counter_equals_transfer_size
1329 26 nussgipfel
unit.0.0.waveform.posn.37.radix=1
1330
unit.0.0.waveform.posn.37.type=signal
1331 27 nussgipfel
unit.0.0.waveform.posn.38.channel=48
1332
unit.0.0.waveform.posn.38.name=/s_send_transfersize_en
1333 26 nussgipfel
unit.0.0.waveform.posn.38.radix=1
1334 27 nussgipfel
unit.0.0.waveform.posn.38.type=signal
1335
unit.0.0.waveform.posn.39.channel=73
1336
unit.0.0.waveform.posn.39.name=/s_send_have_more_data
1337 26 nussgipfel
unit.0.0.waveform.posn.39.radix=1
1338 27 nussgipfel
unit.0.0.waveform.posn.39.type=signal
1339 26 nussgipfel
unit.0.0.waveform.posn.4.channel=4
1340
unit.0.0.waveform.posn.4.name=/GECKO3COM_simple_1/o_receive_end_of_message
1341
unit.0.0.waveform.posn.4.type=signal
1342
unit.0.0.waveform.posn.40.channel=2147483646
1343 27 nussgipfel
unit.0.0.waveform.posn.40.name=/s_send_fifo_data
1344 26 nussgipfel
unit.0.0.waveform.posn.40.radix=1
1345
unit.0.0.waveform.posn.40.type=bus
1346
unit.0.0.waveform.posn.41.channel=2147483646
1347 27 nussgipfel
unit.0.0.waveform.posn.41.name=/GECKO3COM_simple_1/s_gpif_rx_data
1348 26 nussgipfel
unit.0.0.waveform.posn.41.radix=1
1349
unit.0.0.waveform.posn.41.type=bus
1350
unit.0.0.waveform.posn.42.channel=2147483646
1351 27 nussgipfel
unit.0.0.waveform.posn.42.name=Mcount_s_send_transfersize_coun
1352 26 nussgipfel
unit.0.0.waveform.posn.42.radix=1
1353
unit.0.0.waveform.posn.42.type=bus
1354
unit.0.0.waveform.posn.43.channel=2147483646
1355 27 nussgipfel
unit.0.0.waveform.posn.43.name=s_msg_id
1356 26 nussgipfel
unit.0.0.waveform.posn.43.radix=1
1357
unit.0.0.waveform.posn.43.type=bus
1358
unit.0.0.waveform.posn.44.channel=2147483646
1359 27 nussgipfel
unit.0.0.waveform.posn.44.name=s_btag
1360 26 nussgipfel
unit.0.0.waveform.posn.44.radix=1
1361
unit.0.0.waveform.posn.44.type=bus
1362
unit.0.0.waveform.posn.45.channel=2147483646
1363 27 nussgipfel
unit.0.0.waveform.posn.45.name=s_nbtag
1364 26 nussgipfel
unit.0.0.waveform.posn.45.radix=1
1365
unit.0.0.waveform.posn.45.type=bus
1366
unit.0.0.waveform.posn.46.channel=2147483646
1367 27 nussgipfel
unit.0.0.waveform.posn.46.name=/GECKO3COM_simple_1/s_send_mux_sel
1368 26 nussgipfel
unit.0.0.waveform.posn.46.radix=1
1369
unit.0.0.waveform.posn.46.type=bus
1370 27 nussgipfel
unit.0.0.waveform.posn.47.channel=2147483646
1371
unit.0.0.waveform.posn.47.name=GECKO3COM_simple_fsm_1/state_FSM_FFd1
1372
unit.0.0.waveform.posn.47.radix=1
1373
unit.0.0.waveform.posn.47.type=bus
1374
unit.0.0.waveform.posn.48.channel=2147483646
1375
unit.0.0.waveform.posn.48.name=s_receive_transfersize_en
1376
unit.0.0.waveform.posn.48.radix=1
1377
unit.0.0.waveform.posn.48.type=bus
1378
unit.0.0.waveform.posn.49.channel=2147483646
1379
unit.0.0.waveform.posn.49.name=s_receive_transfersize_en
1380
unit.0.0.waveform.posn.49.radix=1
1381
unit.0.0.waveform.posn.49.type=bus
1382 26 nussgipfel
unit.0.0.waveform.posn.5.channel=5
1383
unit.0.0.waveform.posn.5.name=/GECKO3COM_simple_1/o_receive_fifo_empty
1384
unit.0.0.waveform.posn.5.type=signal
1385 27 nussgipfel
unit.0.0.waveform.posn.50.channel=2147483646
1386
unit.0.0.waveform.posn.50.name=s_receive_transfersize_en
1387
unit.0.0.waveform.posn.50.radix=1
1388
unit.0.0.waveform.posn.50.type=bus
1389
unit.0.0.waveform.posn.51.channel=2147483646
1390
unit.0.0.waveform.posn.51.name=s_receive_transfersize_en
1391
unit.0.0.waveform.posn.51.radix=1
1392
unit.0.0.waveform.posn.51.type=bus
1393
unit.0.0.waveform.posn.52.channel=2147483646
1394
unit.0.0.waveform.posn.52.name=s_receive_transfersize_en
1395
unit.0.0.waveform.posn.52.radix=1
1396
unit.0.0.waveform.posn.52.type=bus
1397
unit.0.0.waveform.posn.53.channel=2147483646
1398
unit.0.0.waveform.posn.53.name=s_receive_transfersize_en
1399
unit.0.0.waveform.posn.53.radix=1
1400
unit.0.0.waveform.posn.53.type=bus
1401
unit.0.0.waveform.posn.54.channel=2147483646
1402
unit.0.0.waveform.posn.54.name=s_receive_transfersize_en
1403
unit.0.0.waveform.posn.54.radix=1
1404
unit.0.0.waveform.posn.54.type=bus
1405
unit.0.0.waveform.posn.55.channel=2147483646
1406
unit.0.0.waveform.posn.55.name=s_receive_transfersize_en
1407
unit.0.0.waveform.posn.55.radix=1
1408
unit.0.0.waveform.posn.55.type=bus
1409
unit.0.0.waveform.posn.56.channel=2147483646
1410
unit.0.0.waveform.posn.56.name=s_receive_transfersize_en
1411
unit.0.0.waveform.posn.56.radix=1
1412
unit.0.0.waveform.posn.56.type=bus
1413
unit.0.0.waveform.posn.57.channel=2147483646
1414
unit.0.0.waveform.posn.57.name=s_receive_transfersize_en
1415
unit.0.0.waveform.posn.57.radix=1
1416
unit.0.0.waveform.posn.57.type=bus
1417
unit.0.0.waveform.posn.58.channel=2147483646
1418
unit.0.0.waveform.posn.58.name=s_receive_transfersize_en
1419
unit.0.0.waveform.posn.58.radix=1
1420
unit.0.0.waveform.posn.58.type=bus
1421
unit.0.0.waveform.posn.59.channel=2147483646
1422
unit.0.0.waveform.posn.59.name=s_receive_transfersize_en
1423
unit.0.0.waveform.posn.59.radix=1
1424
unit.0.0.waveform.posn.59.type=bus
1425 26 nussgipfel
unit.0.0.waveform.posn.6.channel=6
1426
unit.0.0.waveform.posn.6.name=/GECKO3COM_simple_1/o_receive_newdata
1427
unit.0.0.waveform.posn.6.type=signal
1428 27 nussgipfel
unit.0.0.waveform.posn.60.channel=2147483646
1429
unit.0.0.waveform.posn.60.name=s_receive_transfersize_en
1430
unit.0.0.waveform.posn.60.radix=1
1431
unit.0.0.waveform.posn.60.type=bus
1432
unit.0.0.waveform.posn.61.channel=2147483646
1433
unit.0.0.waveform.posn.61.name=s_receive_transfersize_en
1434
unit.0.0.waveform.posn.61.radix=1
1435
unit.0.0.waveform.posn.61.type=bus
1436
unit.0.0.waveform.posn.62.channel=2147483646
1437
unit.0.0.waveform.posn.62.name=s_receive_transfersize_en
1438
unit.0.0.waveform.posn.62.radix=1
1439
unit.0.0.waveform.posn.62.type=bus
1440
unit.0.0.waveform.posn.63.channel=2147483646
1441
unit.0.0.waveform.posn.63.name=s_receive_transfersize_en
1442
unit.0.0.waveform.posn.63.radix=1
1443
unit.0.0.waveform.posn.63.type=bus
1444
unit.0.0.waveform.posn.64.channel=2147483646
1445
unit.0.0.waveform.posn.64.name=s_receive_transfersize_en
1446
unit.0.0.waveform.posn.64.radix=1
1447
unit.0.0.waveform.posn.64.type=bus
1448
unit.0.0.waveform.posn.65.channel=2147483646
1449
unit.0.0.waveform.posn.65.name=s_receive_transfersize_en
1450
unit.0.0.waveform.posn.65.radix=1
1451
unit.0.0.waveform.posn.65.type=bus
1452
unit.0.0.waveform.posn.66.channel=2147483646
1453
unit.0.0.waveform.posn.66.name=s_receive_transfersize_en
1454
unit.0.0.waveform.posn.66.radix=1
1455
unit.0.0.waveform.posn.66.type=bus
1456
unit.0.0.waveform.posn.67.channel=2147483646
1457
unit.0.0.waveform.posn.67.name=s_receive_transfersize_en
1458
unit.0.0.waveform.posn.67.radix=1
1459
unit.0.0.waveform.posn.67.type=bus
1460
unit.0.0.waveform.posn.68.channel=2147483646
1461
unit.0.0.waveform.posn.68.name=s_receive_transfersize_en
1462
unit.0.0.waveform.posn.68.radix=1
1463
unit.0.0.waveform.posn.68.type=bus
1464
unit.0.0.waveform.posn.69.channel=2147483646
1465
unit.0.0.waveform.posn.69.name=s_receive_transfersize_en
1466
unit.0.0.waveform.posn.69.radix=1
1467
unit.0.0.waveform.posn.69.type=bus
1468 26 nussgipfel
unit.0.0.waveform.posn.7.channel=7
1469
unit.0.0.waveform.posn.7.name=/GECKO3COM_simple_1/o_send_data_request
1470
unit.0.0.waveform.posn.7.type=signal
1471 27 nussgipfel
unit.0.0.waveform.posn.70.channel=2147483646
1472
unit.0.0.waveform.posn.70.name=s_receive_transfersize_en
1473
unit.0.0.waveform.posn.70.radix=1
1474
unit.0.0.waveform.posn.70.type=bus
1475
unit.0.0.waveform.posn.71.channel=2147483646
1476
unit.0.0.waveform.posn.71.name=s_receive_transfersize_en
1477
unit.0.0.waveform.posn.71.radix=1
1478
unit.0.0.waveform.posn.71.type=bus
1479
unit.0.0.waveform.posn.72.channel=2147483646
1480
unit.0.0.waveform.posn.72.name=s_receive_transfersize_en
1481
unit.0.0.waveform.posn.72.radix=1
1482
unit.0.0.waveform.posn.72.type=bus
1483
unit.0.0.waveform.posn.73.channel=2147483646
1484
unit.0.0.waveform.posn.73.name=s_receive_transfersize_en
1485
unit.0.0.waveform.posn.73.radix=1
1486
unit.0.0.waveform.posn.73.type=bus
1487
unit.0.0.waveform.posn.74.channel=2147483646
1488
unit.0.0.waveform.posn.74.name=s_receive_transfersize_en
1489
unit.0.0.waveform.posn.74.radix=1
1490
unit.0.0.waveform.posn.74.type=bus
1491
unit.0.0.waveform.posn.75.channel=2147483646
1492
unit.0.0.waveform.posn.75.name=s_receive_transfersize_en
1493
unit.0.0.waveform.posn.75.radix=1
1494
unit.0.0.waveform.posn.75.type=bus
1495
unit.0.0.waveform.posn.76.channel=2147483646
1496
unit.0.0.waveform.posn.76.name=s_receive_transfersize_en
1497
unit.0.0.waveform.posn.76.radix=1
1498
unit.0.0.waveform.posn.76.type=bus
1499
unit.0.0.waveform.posn.77.channel=2147483646
1500
unit.0.0.waveform.posn.77.name=s_receive_transfersize_en
1501
unit.0.0.waveform.posn.77.radix=1
1502
unit.0.0.waveform.posn.77.type=bus
1503
unit.0.0.waveform.posn.78.channel=2147483646
1504
unit.0.0.waveform.posn.78.name=s_receive_transfersize_en
1505
unit.0.0.waveform.posn.78.radix=1
1506
unit.0.0.waveform.posn.78.type=bus
1507
unit.0.0.waveform.posn.79.channel=2147483646
1508
unit.0.0.waveform.posn.79.name=s_receive_transfersize_en
1509
unit.0.0.waveform.posn.79.radix=1
1510
unit.0.0.waveform.posn.79.type=bus
1511 26 nussgipfel
unit.0.0.waveform.posn.8.channel=8
1512
unit.0.0.waveform.posn.8.name=/GECKO3COM_simple_1/o_send_fifo_full
1513
unit.0.0.waveform.posn.8.type=signal
1514 27 nussgipfel
unit.0.0.waveform.posn.80.channel=2147483646
1515
unit.0.0.waveform.posn.80.name=s_receive_transfersize_en
1516
unit.0.0.waveform.posn.80.radix=1
1517
unit.0.0.waveform.posn.80.type=bus
1518
unit.0.0.waveform.posn.81.channel=2147483646
1519
unit.0.0.waveform.posn.81.name=s_receive_transfersize_en
1520
unit.0.0.waveform.posn.81.radix=1
1521
unit.0.0.waveform.posn.81.type=bus
1522
unit.0.0.waveform.posn.82.channel=2147483646
1523
unit.0.0.waveform.posn.82.name=s_receive_transfersize_en
1524
unit.0.0.waveform.posn.82.radix=1
1525
unit.0.0.waveform.posn.82.type=bus
1526
unit.0.0.waveform.posn.83.channel=2147483646
1527
unit.0.0.waveform.posn.83.name=s_receive_transfersize_en
1528
unit.0.0.waveform.posn.83.radix=1
1529
unit.0.0.waveform.posn.83.type=bus
1530
unit.0.0.waveform.posn.84.channel=2147483646
1531
unit.0.0.waveform.posn.84.name=s_receive_transfersize_en
1532
unit.0.0.waveform.posn.84.radix=1
1533
unit.0.0.waveform.posn.84.type=bus
1534
unit.0.0.waveform.posn.85.channel=2147483646
1535
unit.0.0.waveform.posn.85.name=s_receive_transfersize_en
1536
unit.0.0.waveform.posn.85.radix=1
1537
unit.0.0.waveform.posn.85.type=bus
1538
unit.0.0.waveform.posn.86.channel=2147483646
1539
unit.0.0.waveform.posn.86.name=s_receive_transfersize_en
1540
unit.0.0.waveform.posn.86.radix=1
1541
unit.0.0.waveform.posn.86.type=bus
1542
unit.0.0.waveform.posn.87.channel=2147483646
1543
unit.0.0.waveform.posn.87.name=s_receive_transfersize_en
1544
unit.0.0.waveform.posn.87.radix=1
1545
unit.0.0.waveform.posn.87.type=bus
1546
unit.0.0.waveform.posn.88.channel=2147483646
1547
unit.0.0.waveform.posn.88.name=s_receive_transfersize_en
1548
unit.0.0.waveform.posn.88.radix=1
1549
unit.0.0.waveform.posn.88.type=bus
1550
unit.0.0.waveform.posn.89.channel=2147483646
1551
unit.0.0.waveform.posn.89.name=s_receive_transfersize_en
1552
unit.0.0.waveform.posn.89.radix=1
1553
unit.0.0.waveform.posn.89.type=bus
1554 26 nussgipfel
unit.0.0.waveform.posn.9.channel=9
1555
unit.0.0.waveform.posn.9.name=/GECKO3COM_simple_1/o_send_finished
1556
unit.0.0.waveform.posn.9.type=signal
1557 27 nussgipfel
unit.0.0.waveform.posn.90.channel=2147483646
1558
unit.0.0.waveform.posn.90.name=s_receive_transfersize_en
1559
unit.0.0.waveform.posn.90.radix=1
1560
unit.0.0.waveform.posn.90.type=bus
1561
unit.0.0.waveform.posn.91.channel=2147483646
1562
unit.0.0.waveform.posn.91.name=s_receive_transfersize_en
1563
unit.0.0.waveform.posn.91.radix=1
1564
unit.0.0.waveform.posn.91.type=bus
1565
unit.0.0.waveform.posn.92.channel=2147483646
1566
unit.0.0.waveform.posn.92.name=s_receive_transfersize_en
1567
unit.0.0.waveform.posn.92.radix=1
1568
unit.0.0.waveform.posn.92.type=bus
1569
unit.0.0.waveform.posn.93.channel=2147483646
1570
unit.0.0.waveform.posn.93.name=s_receive_transfersize_en
1571
unit.0.0.waveform.posn.93.radix=1
1572
unit.0.0.waveform.posn.93.type=bus
1573
unit.0.0.waveform.posn.94.channel=2147483646
1574
unit.0.0.waveform.posn.94.name=s_receive_transfersize_en
1575
unit.0.0.waveform.posn.94.radix=1
1576
unit.0.0.waveform.posn.94.type=bus
1577
unit.0.0.waveform.posn.95.channel=2147483646
1578
unit.0.0.waveform.posn.95.name=s_receive_transfersize_en
1579
unit.0.0.waveform.posn.95.radix=1
1580
unit.0.0.waveform.posn.95.type=bus
1581
unit.0.0.waveform.posn.96.channel=2147483646
1582
unit.0.0.waveform.posn.96.name=s_receive_transfersize_en
1583
unit.0.0.waveform.posn.96.radix=1
1584
unit.0.0.waveform.posn.96.type=bus
1585
unit.0.0.waveform.posn.97.channel=2147483646
1586
unit.0.0.waveform.posn.97.name=s_receive_transfersize_en
1587
unit.0.0.waveform.posn.97.radix=1
1588
unit.0.0.waveform.posn.97.type=bus
1589
unit.0.0.waveform.posn.98.channel=2147483646
1590
unit.0.0.waveform.posn.98.name=s_receive_transfersize_en
1591
unit.0.0.waveform.posn.98.radix=1
1592
unit.0.0.waveform.posn.98.type=bus
1593
unit.0.0.waveform.posn.99.channel=2147483646
1594
unit.0.0.waveform.posn.99.name=s_receive_transfersize_en
1595
unit.0.0.waveform.posn.99.radix=1
1596
unit.0.0.waveform.posn.99.type=bus

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.