OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-ip/] [core/] [coregenerator/] [coregen.rsp] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 24 nussgipfel
NEWPROJECT "/home/chrigi/bfh-work/GECKO3COM/gecko3com-ip/core/coregenerator/"
2
SETPROJECT "/home/chrigi/bfh-work/GECKO3COM/gecko3com-ip/core/coregenerator/"
3
SET BusFormat = BusFormatAngleBracketNotRipped
4
SET devicefamily = spartan3
5
SET device = xc3s1500
6
SET package = fg676
7
SET speedgrade = -4
8
SET FlowVendor = Foundation_iSE
9
SET VerilogSim = True
10
SET VHDLSim = True

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.