OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-ip/] [core/] [coregenerator/] [coregenerator_fifo_receive.v] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 24 nussgipfel
/*******************************************************************************
2
*     This file is owned and controlled by Xilinx and must be used             *
3
*     solely for design, simulation, implementation and creation of            *
4
*     design files limited to Xilinx devices or technologies. Use              *
5
*     with non-Xilinx devices or technologies is expressly prohibited          *
6
*     and immediately terminates your license.                                 *
7
*                                                                              *
8
*     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"            *
9
*     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                  *
10
*     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION          *
11
*     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION              *
12
*     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS                *
13
*     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                  *
14
*     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE         *
15
*     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY                 *
16
*     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                  *
17
*     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR           *
18
*     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF          *
19
*     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS          *
20
*     FOR A PARTICULAR PURPOSE.                                                *
21
*                                                                              *
22
*     Xilinx products are not intended for use in life support                 *
23
*     appliances, devices, or systems. Use in such applications are            *
24
*     expressly prohibited.                                                    *
25
*                                                                              *
26
*     (c) Copyright 1995-2009 Xilinx, Inc.                                     *
27
*     All rights reserved.                                                     *
28
*******************************************************************************/
29
// The synthesis directives "translate_off/translate_on" specified below are
30
// supported by Xilinx, Mentor Graphics and Synplicity synthesis
31
// tools. Ensure they are correct for your synthesis tool(s).
32
 
33
// You must compile the wrapper file coregenerator_fifo_receive.v when simulating
34
// the core, coregenerator_fifo_receive. When compiling the wrapper file, be sure to
35
// reference the XilinxCoreLib Verilog simulation library. For detailed
36
// instructions, please refer to the "CORE Generator Help".
37
 
38
`timescale 1ns/1ps
39
 
40
module coregenerator_fifo_receive(
41
        din,
42
        rd_clk,
43
        rd_en,
44
        rst,
45
        wr_clk,
46
        wr_en,
47
        almost_empty,
48
        almost_full,
49
        dout,
50
        empty,
51
        full);
52
 
53
 
54
input [15 : 0] din;
55
input rd_clk;
56
input rd_en;
57
input rst;
58
input wr_clk;
59
input wr_en;
60
output almost_empty;
61
output almost_full;
62
output [31 : 0] dout;
63
output empty;
64
output full;
65
 
66
// synthesis translate_off
67
 
68
      FIFO_GENERATOR_V3_3 #(
69
                .C_COMMON_CLOCK(0),
70
                .C_COUNT_TYPE(0),
71
                .C_DATA_COUNT_WIDTH(9),
72
                .C_DEFAULT_VALUE("BlankString"),
73
                .C_DIN_WIDTH(16),
74
                .C_DOUT_RST_VAL("0"),
75
                .C_DOUT_WIDTH(32),
76
                .C_ENABLE_RLOCS(0),
77
                .C_FAMILY("spartan3"),
78
                .C_HAS_ALMOST_EMPTY(1),
79
                .C_HAS_ALMOST_FULL(1),
80
                .C_HAS_BACKUP(0),
81
                .C_HAS_DATA_COUNT(0),
82
                .C_HAS_MEMINIT_FILE(0),
83
                .C_HAS_OVERFLOW(0),
84
                .C_HAS_RD_DATA_COUNT(0),
85
                .C_HAS_RD_RST(0),
86
                .C_HAS_RST(1),
87
                .C_HAS_SRST(0),
88
                .C_HAS_UNDERFLOW(0),
89
                .C_HAS_VALID(0),
90
                .C_HAS_WR_ACK(0),
91
                .C_HAS_WR_DATA_COUNT(0),
92
                .C_HAS_WR_RST(0),
93
                .C_IMPLEMENTATION_TYPE(2),
94
                .C_INIT_WR_PNTR_VAL(0),
95
                .C_MEMORY_TYPE(1),
96
                .C_MIF_FILE_NAME("BlankString"),
97
                .C_OPTIMIZATION_MODE(0),
98
                .C_OVERFLOW_LOW(0),
99
                .C_PRELOAD_LATENCY(1),
100
                .C_PRELOAD_REGS(0),
101
                .C_PRIM_FIFO_TYPE("1kx18"),
102
                .C_PROG_EMPTY_THRESH_ASSERT_VAL(2),
103
                .C_PROG_EMPTY_THRESH_NEGATE_VAL(3),
104
                .C_PROG_EMPTY_TYPE(0),
105
                .C_PROG_FULL_THRESH_ASSERT_VAL(1020),
106
                .C_PROG_FULL_THRESH_NEGATE_VAL(1019),
107
                .C_PROG_FULL_TYPE(0),
108
                .C_RD_DATA_COUNT_WIDTH(9),
109
                .C_RD_DEPTH(512),
110
                .C_RD_FREQ(100),
111
                .C_RD_PNTR_WIDTH(9),
112
                .C_UNDERFLOW_LOW(0),
113
                .C_USE_ECC(0),
114
                .C_USE_FIFO16_FLAGS(0),
115
                .C_VALID_LOW(0),
116
                .C_WR_ACK_LOW(0),
117
                .C_WR_DATA_COUNT_WIDTH(9),
118
                .C_WR_DEPTH(1024),
119
                .C_WR_FREQ(100),
120
                .C_WR_PNTR_WIDTH(10),
121
                .C_WR_RESPONSE_LATENCY(1))
122
        inst (
123
                .DIN(din),
124
                .RD_CLK(rd_clk),
125
                .RD_EN(rd_en),
126
                .RST(rst),
127
                .WR_CLK(wr_clk),
128
                .WR_EN(wr_en),
129
                .ALMOST_EMPTY(almost_empty),
130
                .ALMOST_FULL(almost_full),
131
                .DOUT(dout),
132
                .EMPTY(empty),
133
                .FULL(full),
134
                .CLK(),
135
                .BACKUP(),
136
                .BACKUP_MARKER(),
137
                .PROG_EMPTY_THRESH(),
138
                .PROG_EMPTY_THRESH_ASSERT(),
139
                .PROG_EMPTY_THRESH_NEGATE(),
140
                .PROG_FULL_THRESH(),
141
                .PROG_FULL_THRESH_ASSERT(),
142
                .PROG_FULL_THRESH_NEGATE(),
143
                .RD_RST(),
144
                .SRST(),
145
                .WR_RST(),
146
                .DATA_COUNT(),
147
                .OVERFLOW(),
148
                .PROG_EMPTY(),
149
                .PROG_FULL(),
150
                .VALID(),
151
                .RD_DATA_COUNT(),
152
                .UNDERFLOW(),
153
                .WR_ACK(),
154
                .WR_DATA_COUNT(),
155
                .SBITERR(),
156
                .DBITERR());
157
 
158
 
159
// synthesis translate_on
160
 
161
// XST black box declaration
162
// box_type "black_box"
163
// synthesis attribute box_type of coregenerator_fifo_receive is "black_box"
164
 
165
endmodule
166
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.