OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-ip/] [core/] [coregenerator/] [coregenerator_fifo_receive.vhd] - Blame information for rev 24

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 24 nussgipfel
--------------------------------------------------------------------------------
2
--     This file is owned and controlled by Xilinx and must be used           --
3
--     solely for design, simulation, implementation and creation of          --
4
--     design files limited to Xilinx devices or technologies. Use            --
5
--     with non-Xilinx devices or technologies is expressly prohibited        --
6
--     and immediately terminates your license.                               --
7
--                                                                            --
8
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
9
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
10
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
11
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
12
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
13
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
14
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
15
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
16
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
17
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
18
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
19
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
20
--     FOR A PARTICULAR PURPOSE.                                              --
21
--                                                                            --
22
--     Xilinx products are not intended for use in life support               --
23
--     appliances, devices, or systems. Use in such applications are          --
24
--     expressly prohibited.                                                  --
25
--                                                                            --
26
--     (c) Copyright 1995-2009 Xilinx, Inc.                                   --
27
--     All rights reserved.                                                   --
28
--------------------------------------------------------------------------------
29
-- You must compile the wrapper file coregenerator_fifo_receive.vhd when simulating
30
-- the core, coregenerator_fifo_receive. When compiling the wrapper file, be sure to
31
-- reference the XilinxCoreLib VHDL simulation library. For detailed
32
-- instructions, please refer to the "CORE Generator Help".
33
 
34
-- The synthesis directives "translate_off/translate_on" specified
35
-- below are supported by Xilinx, Mentor Graphics and Synplicity
36
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37
 
38
LIBRARY ieee;
39
USE ieee.std_logic_1164.ALL;
40
-- synthesis translate_off
41
Library XilinxCoreLib;
42
-- synthesis translate_on
43
ENTITY coregenerator_fifo_receive IS
44
        port (
45
        din: IN std_logic_VECTOR(15 downto 0);
46
        rd_clk: IN std_logic;
47
        rd_en: IN std_logic;
48
        rst: IN std_logic;
49
        wr_clk: IN std_logic;
50
        wr_en: IN std_logic;
51
        almost_empty: OUT std_logic;
52
        almost_full: OUT std_logic;
53
        dout: OUT std_logic_VECTOR(31 downto 0);
54
        empty: OUT std_logic;
55
        full: OUT std_logic);
56
END coregenerator_fifo_receive;
57
 
58
ARCHITECTURE coregenerator_fifo_receive_a OF coregenerator_fifo_receive IS
59
-- synthesis translate_off
60
component wrapped_coregenerator_fifo_receive
61
        port (
62
        din: IN std_logic_VECTOR(15 downto 0);
63
        rd_clk: IN std_logic;
64
        rd_en: IN std_logic;
65
        rst: IN std_logic;
66
        wr_clk: IN std_logic;
67
        wr_en: IN std_logic;
68
        almost_empty: OUT std_logic;
69
        almost_full: OUT std_logic;
70
        dout: OUT std_logic_VECTOR(31 downto 0);
71
        empty: OUT std_logic;
72
        full: OUT std_logic);
73
end component;
74
 
75
-- Configuration specification 
76
        for all : wrapped_coregenerator_fifo_receive use entity XilinxCoreLib.fifo_generator_v3_3(behavioral)
77
                generic map(
78
                        c_rd_freq => 100,
79
                        c_wr_response_latency => 1,
80
                        c_has_srst => 0,
81
                        c_has_rd_data_count => 0,
82
                        c_din_width => 16,
83
                        c_has_wr_data_count => 0,
84
                        c_implementation_type => 2,
85
                        c_family => "spartan3",
86
                        c_has_wr_rst => 0,
87
                        c_wr_freq => 100,
88
                        c_underflow_low => 0,
89
                        c_has_meminit_file => 0,
90
                        c_has_overflow => 0,
91
                        c_preload_latency => 1,
92
                        c_dout_width => 32,
93
                        c_rd_depth => 512,
94
                        c_default_value => "BlankString",
95
                        c_mif_file_name => "BlankString",
96
                        c_has_underflow => 0,
97
                        c_has_rd_rst => 0,
98
                        c_has_almost_full => 1,
99
                        c_has_rst => 1,
100
                        c_data_count_width => 9,
101
                        c_has_wr_ack => 0,
102
                        c_use_ecc => 0,
103
                        c_wr_ack_low => 0,
104
                        c_common_clock => 0,
105
                        c_rd_pntr_width => 9,
106
                        c_has_almost_empty => 1,
107
                        c_rd_data_count_width => 9,
108
                        c_enable_rlocs => 0,
109
                        c_wr_pntr_width => 10,
110
                        c_overflow_low => 0,
111
                        c_prog_empty_type => 0,
112
                        c_optimization_mode => 0,
113
                        c_wr_data_count_width => 9,
114
                        c_preload_regs => 0,
115
                        c_dout_rst_val => "0",
116
                        c_has_data_count => 0,
117
                        c_prog_full_thresh_negate_val => 1019,
118
                        c_wr_depth => 1024,
119
                        c_prog_empty_thresh_negate_val => 3,
120
                        c_prog_empty_thresh_assert_val => 2,
121
                        c_has_valid => 0,
122
                        c_init_wr_pntr_val => 0,
123
                        c_prog_full_thresh_assert_val => 1020,
124
                        c_use_fifo16_flags => 0,
125
                        c_has_backup => 0,
126
                        c_valid_low => 0,
127
                        c_prim_fifo_type => "1kx18",
128
                        c_count_type => 0,
129
                        c_prog_full_type => 0,
130
                        c_memory_type => 1);
131
-- synthesis translate_on
132
BEGIN
133
-- synthesis translate_off
134
U0 : wrapped_coregenerator_fifo_receive
135
                port map (
136
                        din => din,
137
                        rd_clk => rd_clk,
138
                        rd_en => rd_en,
139
                        rst => rst,
140
                        wr_clk => wr_clk,
141
                        wr_en => wr_en,
142
                        almost_empty => almost_empty,
143
                        almost_full => almost_full,
144
                        dout => dout,
145
                        empty => empty,
146
                        full => full);
147
-- synthesis translate_on
148
 
149
END coregenerator_fifo_receive_a;
150
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.