OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-ip/] [core/] [fifo_send.vhd] - Blame information for rev 22

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 22 nussgipfel
--  GECKO3COM IP Core
2
--
3
--  Copyright (C) 2009 by
4
--   ___    ___   _   _
5
--  (  _ \ (  __)( ) ( )
6
--  | (_) )| (   | |_| |   Bern University of Applied Sciences
7
--  |  _ < |  _) |  _  |   School of Engineering and
8
--  | (_) )| |   | | | |   Information Technology
9
--  (____/ (_)   (_) (_)
10
--
11
--  This program is free software: you can redistribute it and/or modify
12
--  it under the terms of the GNU General Public License as published by
13
--  the Free Software Foundation, either version 3 of the License, or
14
--  (at your option) any later version.
15
--
16
--  This program is distributed in the hope that it will be useful,
17
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
18
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
19
--  GNU General Public License for more details. 
20
--  You should have received a copy of the GNU General Public License
21
--  along with this program.  If not, see <http://www.gnu.org/licenses/>.
22
--
23
--  URL to the project description: 
24
--    http://labs.ti.bfh.ch/gecko/wiki/systems/gecko3com/start
25
----------------------------------------------------------------------------------
26
--
27
--  Author:  Christoph Zimmermann
28
--  Date of creation: 17. December 2009
29
--  Description:
30
--      This is a wrapper for a FIFO that was generated with the Xilinx Coregenerator
31
--    to hide the vendor specific stuff and match our naming conventions.
32
--
33
--  Target Devices:     Xilinx FPGA's due to use of Coregenerator IP cores
34
--  Tool versions:      11.1
35
--  Dependencies:
36
--
37
----------------------------------------------------------------------------------
38
 
39
library ieee;
40
use ieee.std_logic_1164.all;
41
 
42
library UNISIM;
43
use UNISIM.vcomponents.all;
44
 
45
library UNIMACRO;
46
use UNIMACRO.vcomponents.all;
47
 
48
library work;
49
use work.GECKO3COM_defines.all;
50
 
51
entity send_fifo is
52
  generic (
53
    BUSWIDTH : integer := 16);          -- vector size of the FIFO databusses
54
  port (
55
    i_din    : in  std_logic_vector(BUSWIDTH-1 downto 0);
56
    i_clk    : in  std_logic;
57
    i_rd_en  : in  std_logic;
58
    i_rst    : in  std_logic;
59
    i_wr_en  : in  std_logic;
60
    o_dout   : out std_logic_vector(SIZE_DBUS_GPIF-1 downto 0);
61
    o_empty  : out std_logic;
62
    o_full   : out std_logic);
63
end send_fifo;
64
 
65
architecture wrapper of send_fifo is
66
 
67
  -----------------------------------------------------------------------------
68
  -- COMPONENTS
69
  -----------------------------------------------------------------------------
70
 
71
  component coregenerator_fifo_send
72
    port (
73
      din    : in  std_logic_vector(BUSWIDTH-1 downto 0);
74
      rd_clk : in  std_logic;
75
      rd_en  : in  std_logic;
76
      rst    : in  std_logic;
77
      wr_clk : in  std_logic;
78
      wr_en  : in  std_logic;
79
      dout   : out std_logic_vector(SIZE_DBUS_GPIF-1 downto 0);
80
      empty  : out std_logic;
81
      full   : out std_logic);
82
  end component;
83
 
84
  -- Synplicity black box declaration
85
  attribute syn_black_box                               : boolean;
86
  attribute syn_black_box of coregenerator_fifo_send : component is true;
87
  attribute box_type of coregenerator_fifo_send      : component is "black_box";
88
 
89
begin
90
 
91
  -----------------------------------------------------------------------------
92
  -- Port map
93
  -----------------------------------------------------------------------------
94
 
95
  FIFO : coregenerator_fifo_send
96
    port map (
97
      din    => i_din,
98
      rd_clk => i_clk,
99
      rd_en  => i_rd_en,
100
      rst    => i_rst,
101
      wr_clk => i_clk ,
102
      wr_en  => i_wr_en,
103
      dout   => o_dout,
104
      empty  => o_empty,
105
      full   => o_full
106
      );
107
 
108
end wrapper;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.