OpenCores
URL https://opencores.org/ocsvn/gecko4/gecko4/trunk

Subversion Repositories gecko4

[/] [gecko4/] [trunk/] [GECKO4com/] [spartan200_an/] [vhdl/] [cmd_18_1e_if/] [cmd_18_1e_if-entity.vhdl] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 ktt1
--------------------------------------------------------------------------------
2
--            _   _            __   ____                                      --
3
--           / / | |          / _| |  __|                                     --
4
--           | |_| |  _   _  / /   | |_                                       --
5
--           |  _  | | | | | | |   |  _|                                      --
6
--           | | | | | |_| | \ \_  | |__                                      --
7
--           |_| |_| \_____|  \__| |____| microLab                            --
8
--                                                                            --
9
--           Bern University of Applied Sciences (BFH)                        --
10
--           Quellgasse 21                                                    --
11
--           Room HG 4.33                                                     --
12
--           2501 Biel/Bienne                                                 --
13
--           Switzerland                                                      --
14
--                                                                            --
15
--           http://www.microlab.ch                                           --
16
--------------------------------------------------------------------------------
17
--   GECKO4com
18
--  
19
--   2010/2011 Dr. Theo Kluter
20
--  
21
--   This VHDL code is free code: you can redistribute it and/or modify
22
--   it under the terms of the GNU General Public License as published by
23
--   the Free Software Foundation, either version 3 of the License, or
24
--   (at your option) any later version.
25
--  
26
--   This VHDL code is distributed in the hope that it will be useful,
27
--   but WITHOUT ANY WARRANTY; without even the implied warranty of
28
--   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
29
--   GNU General Public License for more details. 
30
--   You should have received a copy of the GNU General Public License
31
--   along with these sources.  If not, see <http://www.gnu.org/licenses/>.
32
--
33
 
34
LIBRARY ieee;
35
USE ieee.std_logic_1164.all;
36
USE ieee.std_logic_arith.all;
37
 
38
ENTITY cmd_18_1e_if IS
39
   PORT ( clock           : IN  std_logic;
40
          reset           : IN  std_logic;
41
 
42
          -- Here the scpi interface is defined
43
          start_command   : IN  std_logic;
44
          command_id      : IN  std_logic_vector( 6 DOWNTO 0 );
45
          command_done    : OUT std_logic;
46
 
47
          -- Here the tx_fifo is defined
48
          push            : OUT std_logic;
49
          push_size       : OUT std_logic;
50
          push_data       : OUT std_logic_vector( 7 DOWNTO 0 );
51
          fifo_full       : IN  std_logic;
52
 
53
          -- Here the fpga_if is defined
54
          fpga_type       : IN  std_logic_vector( 2 DOWNTO 0 );
55
          fpga_configured : IN  std_logic;
56
 
57
          -- Here the flash if is defined
58
          flash_empty     : IN  std_logic;
59
 
60
          -- Here the board interface is defined
61
          n_usb_power     : IN  std_logic;
62
          n_bus_power     : IN  std_logic;
63
          n_usb_charge    : IN  std_logic);
64
END cmd_18_1e_if;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.