OpenCores
URL https://opencores.org/ocsvn/gecko4/gecko4/trunk

Subversion Repositories gecko4

[/] [gecko4/] [trunk/] [GECKO4com/] [spartan200_an/] [vhdl/] [config/] [config-entity.vhdl] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 ktt1
--------------------------------------------------------------------------------
2
--            _   _            __   ____                                      --
3
--           / / | |          / _| |  __|                                     --
4
--           | |_| |  _   _  / /   | |_                                       --
5
--           |  _  | | | | | | |   |  _|                                      --
6
--           | | | | | |_| | \ \_  | |__                                      --
7
--           |_| |_| \_____|  \__| |____| microLab                            --
8
--                                                                            --
9
--           Bern University of Applied Sciences (BFH)                        --
10
--           Quellgasse 21                                                    --
11
--           Room HG 4.33                                                     --
12
--           2501 Biel/Bienne                                                 --
13
--           Switzerland                                                      --
14
--                                                                            --
15
--           http://www.microlab.ch                                           --
16
--------------------------------------------------------------------------------
17
--   GECKO4com
18
--  
19
--   2010/2011 Dr. Theo Kluter
20
--  
21
--   This VHDL code is free code: you can redistribute it and/or modify
22
--   it under the terms of the GNU General Public License as published by
23
--   the Free Software Foundation, either version 3 of the License, or
24
--   (at your option) any later version.
25
--  
26
--   This VHDL code is distributed in the hope that it will be useful,
27
--   but WITHOUT ANY WARRANTY; without even the implied warranty of
28
--   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
29
--   GNU General Public License for more details. 
30
--   You should have received a copy of the GNU General Public License
31
--   along with these sources.  If not, see <http://www.gnu.org/licenses/>.
32
--
33
 
34
LIBRARY ieee;
35
USE ieee.std_logic_1164.all;
36
 
37
ENTITY config_if IS
38
   PORT ( clock                  : IN  std_logic;
39
          reset                  : IN  std_logic;
40
 
41
          -- here the flash interface is defined
42
          start_config           : IN  std_logic;
43
          flash_start_read       : OUT std_logic;
44
          flash_done             : IN  std_logic;
45
          flash_present          : IN  std_logic;
46
          flash_s1_empty         : IN  std_logic;
47
          flash_idle             : IN  std_logic;
48
 
49
          flash_push             : IN  std_logic;
50
          flash_push_data        : IN  std_logic_vector( 7 DOWNTO 0 );
51
          flash_push_size        : IN  std_logic;
52
          flash_push_last        : IN  std_logic;
53
          flash_fifo_full        : OUT std_logic;
54
 
55
          -- here the flash usbtmc interface is defined
56
          flash_u_start_read     : IN  std_logic;
57
          flash_u_done           : OUT std_logic;
58
          flash_u_push           : OUT std_logic;
59
          flash_u_push_data      : OUT std_logic_vector( 7 DOWNTO 0 );
60
          flash_u_push_size      : OUT std_logic;
61
          flash_u_fifo_full      : IN  std_logic;
62
 
63
          -- here the bitfile interface is defined
64
          bitfile_start          : OUT std_logic;
65
          bitfile_pop            : IN  std_logic;
66
          bitfile_pop_data       : OUT std_logic_vector( 7 DOWNTO 0 );
67
          bitfile_last           : OUT std_logic;
68
          bitfile_fifo_empty     : OUT std_logic;
69
 
70
          -- here the bitfile usbtmc interface is defined
71
          bitfile_u_start        : IN  std_logic;
72
          bitfile_u_pop          : OUT std_logic;
73
          bitfile_u_pop_data     : IN  std_logic_vector( 7 DOWNTO 0 );
74
          bitfile_u_last         : IN  std_logic;
75
          bitfile_u_fifo_empty   : IN  std_logic;
76
 
77
          -- here the fpga interface is defined
78
          fpga_idle              : IN  std_logic;
79
          fpga_type              : IN  std_logic_vector( 2 DOWNTO 0 );
80
 
81
          -- here the power interface is defined
82
          n_bus_power            : IN  std_logic;
83
 
84
          -- here the scpi interface is defined
85
          start_command          : IN  std_logic;
86
          command_id             : IN  std_logic_vector( 6 DOWNTO 0 );
87
          command_error          : OUT std_logic );
88
END config_if;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.