OpenCores
URL https://opencores.org/ocsvn/gecko4/gecko4/trunk

Subversion Repositories gecko4

[/] [gecko4/] [trunk/] [GECKO4com/] [spartan200_an/] [vhdl/] [user_fifo/] [user_fifo-entity.vhdl] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 ktt1
--------------------------------------------------------------------------------
2
--            _   _            __   ____                                      --
3
--           / / | |          / _| |  __|                                     --
4
--           | |_| |  _   _  / /   | |_                                       --
5
--           |  _  | | | | | | |   |  _|                                      --
6
--           | | | | | |_| | \ \_  | |__                                      --
7
--           |_| |_| \_____|  \__| |____| microLab                            --
8
--                                                                            --
9
--           Bern University of Applied Sciences (BFH)                        --
10
--           Quellgasse 21                                                    --
11
--           Room HG 4.33                                                     --
12
--           2501 Biel/Bienne                                                 --
13
--           Switzerland                                                      --
14
--                                                                            --
15
--           http://www.microlab.ch                                           --
16
--------------------------------------------------------------------------------
17
--   GECKO4com
18
--  
19
--   2010/2011 Dr. Theo Kluter
20
--  
21
--   This VHDL code is free code: you can redistribute it and/or modify
22
--   it under the terms of the GNU General Public License as published by
23
--   the Free Software Foundation, either version 3 of the License, or
24
--   (at your option) any later version.
25
--  
26
--   This VHDL code is distributed in the hope that it will be useful,
27
--   but WITHOUT ANY WARRANTY; without even the implied warranty of
28
--   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
29
--   GNU General Public License for more details. 
30
--   You should have received a copy of the GNU General Public License
31
--   along with these sources.  If not, see <http://www.gnu.org/licenses/>.
32
--
33
 
34
LIBRARY ieee;
35
USE ieee.std_logic_1164.all;
36
USE ieee.std_logic_arith.all;
37
 
38
ENTITY user_fifo IS
39
   PORT ( clock                  : IN  std_logic;
40
          reset                  : IN  std_logic;
41
 
42
          -- Here the bus signals are defined
43
          n_bus_reset            : IN  std_logic;
44
          n_start_transmission   : IN  std_logic;
45
          n_end_transmission_in  : IN  std_logic;
46
          n_end_transmission_out : OUT std_logic;
47
          n_data_valid_in        : IN  std_logic_vector( 1 DOWNTO 0 );
48
          n_data_valid_out       : OUT std_logic_vector( 1 DOWNTO 0 );
49
          data_in                : IN  std_logic_vector(15 DOWNTO 0 );
50
          data_out               : OUT std_logic_vector(15 DOWNTO 0 );
51
          read_n_write           : IN  std_logic;
52
          burst_size             : IN  std_logic_vector( 8 DOWNTO 0 );
53
          bus_address            : IN  std_logic_vector( 5 DOWNTO 0 );
54
          n_start_send           : OUT std_logic;
55
          n_bus_error            : OUT std_logic;
56
 
57
          -- Here the scpi interface is defined
58
          start_command          : IN  std_logic;
59
          command_id             : IN  std_logic_vector( 6 DOWNTO 0 );
60
          transparent_mode       : IN  std_logic;
61
          command_done           : OUT std_logic;
62
          command_error          : OUT std_logic;
63
          message_available      : OUT std_logic;
64
 
65
          -- Here the tx_fifo is defined
66
          push                   : OUT std_logic;
67
          push_size              : OUT std_logic;
68
          push_data              : OUT std_logic_vector( 7 DOWNTO 0 );
69
          fifo_full              : IN  std_logic;
70
 
71
          -- Here the rx_fifo is defined
72
          pop                    : OUT std_logic;
73
          pop_last               : IN  std_logic;
74
          pop_data               : IN  std_logic_vector( 7 DOWNTO 0 );
75
          pop_empty              : IN  std_logic;
76
 
77
          -- Here the big fpga interface is defined
78
          data_request_irq       : OUT std_logic;
79
          data_available_irq     : OUT std_logic;
80
          error_irq              : OUT std_logic);
81
END user_fifo;
82
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.