OpenCores
URL https://opencores.org/ocsvn/gecko4/gecko4/trunk

Subversion Repositories gecko4

[/] [gecko4/] [trunk/] [GECKO4com/] [spartan200_an/] [vhdl/] [vga/] [vga_controller-entity.vhdl] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 ktt1
--------------------------------------------------------------------------------
2
--            _   _            __   ____                                      --
3
--           / / | |          / _| |  __|                                     --
4
--           | |_| |  _   _  / /   | |_                                       --
5
--           |  _  | | | | | | |   |  _|                                      --
6
--           | | | | | |_| | \ \_  | |__                                      --
7
--           |_| |_| \_____|  \__| |____| microLab                            --
8
--                                                                            --
9
--           Bern University of Applied Sciences (BFH)                        --
10
--           Quellgasse 21                                                    --
11
--           Room HG 4.33                                                     --
12
--           2501 Biel/Bienne                                                 --
13
--           Switzerland                                                      --
14
--                                                                            --
15
--           http://www.microlab.ch                                           --
16
--------------------------------------------------------------------------------
17
--   GECKO4com
18
--  
19
--   2010/2011 Dr. Theo Kluter
20
--  
21
--   This VHDL code is free code: you can redistribute it and/or modify
22
--   it under the terms of the GNU General Public License as published by
23
--   the Free Software Foundation, either version 3 of the License, or
24
--   (at your option) any later version.
25
--  
26
--   This VHDL code is distributed in the hope that it will be useful,
27
--   but WITHOUT ANY WARRANTY; without even the implied warranty of
28
--   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
29
--   GNU General Public License for more details. 
30
--   You should have received a copy of the GNU General Public License
31
--   along with these sources.  If not, see <http://www.gnu.org/licenses/>.
32
--
33
 
34
LIBRARY ieee;
35
USE ieee.std_logic_1164.all;
36
USE ieee.std_logic_arith.all;
37
 
38
-- Color definition:
39
-- 0 => black
40
-- 1 => blue
41
-- 2 => green
42
-- 3 => cyan
43
-- 4 => red
44
-- 5 => magenta
45
-- 6 => yellow
46
-- 7 => white
47
 
48
ENTITY vga_controller IS
49
   PORT ( clock_75MHz         : IN  std_logic;
50
          reset               : IN  std_logic;
51
          vga_off             : IN  std_logic;
52
          clock               : IN  std_logic;
53
 
54
          -- Here the scpi interface is defined
55
          start_command       : IN  std_logic;
56
          command_id          : IN  std_logic_vector( 6 DOWNTO 0 );
57
          command_done        : OUT std_logic;
58
          command_error       : OUT std_logic;
59
 
60
          -- Here the usbtmc fifo interface is defined
61
          pop                 : OUT std_logic;
62
          pop_data            : IN  std_logic_vector(  7 DOWNTO 0 );
63
          pop_last            : IN  std_logic;
64
          pop_empty           : IN  std_logic;
65
          push                : OUT std_logic;
66
          push_data           : OUT std_logic_vector(  7 DOWNTO 0 );
67
          push_size           : OUT std_logic;
68
          push_full           : IN  std_logic;
69
 
70
          -- Here the PUD interface is defined
71
          we_char             : IN  std_logic;
72
          we_ascii            : IN  std_logic_vector(  7 DOWNTO 0 );
73
          we_addr             : IN  std_logic_vector( 10 DOWNTO 0 );
74
 
75
          -- Here the fpga interface is defined
76
          cursor_pos          : IN  std_logic_vector( 10 DOWNTO 0 );
77
          screen_offset       : IN  std_logic_vector(  4 DOWNTO 0 );
78
          fg_color            : IN  std_logic_vector(  2 DOWNTO 0 );
79
          bg_color            : IN  std_logic_vector(  2 DOWNTO 0 );
80
          write_address       : IN  std_logic_vector( 10 DOWNTO 0 );
81
          ascii_data          : IN  std_logic_vector(  7 DOWNTO 0 );
82
          we                  : IN  std_logic;
83
 
84
          vga_red             : OUT std_logic;
85
          vga_green           : OUT std_logic;
86
          vga_blue            : OUT std_logic;
87
          vga_hsync           : OUT std_logic;
88
          vga_vsync           : OUT std_logic );
89
END vga_controller;
90
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.