OpenCores
URL https://opencores.org/ocsvn/gpib_controller/gpib_controller/trunk

Subversion Repositories gpib_controller

[/] [gpib_controller/] [trunk/] [vhdl/] [src/] [common/] [communication.vhd] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 Andrewski
--------------------------------------------------------------------------------
2
-- Entity: communication
3
-- Date:2011-11-27  
4
-- Author: apaluch     
5
--
6
-- Description ${cursor}
7
--------------------------------------------------------------------------------
8
library ieee;
9
use ieee.std_logic_1164.all;
10
use ieee.std_logic_unsigned.all;
11
 
12
package communication is
13
 
14
        component Uart is
15
                port (
16
                        reset : in std_logic;
17
                        clk : in std_logic;
18
                        ---------- UART ---------------
19
                        RX : in std_logic;
20
                        TX : out std_logic;
21
                        ---------- gpib ---------------
22
                        data_out : out std_logic_vector(7 downto 0);
23
                        data_out_ready : out std_logic;
24
                        data_in : in std_logic_vector(7 downto 0);
25
                        data_in_ready : in std_logic;
26
                        ready_to_send : out std_logic
27
                );
28
        end component;
29
 
30
 
31
end communication;
32
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.