OpenCores
URL https://opencores.org/ocsvn/gpib_controller/gpib_controller/trunk

Subversion Repositories gpib_controller

[/] [gpib_controller/] [trunk/] [vhdl/] [src/] [gpib/] [SecAddrSaver.vhd] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 Andrewski
--------------------------------------------------------------------------------
2
-- Entity: SecAddrSaver
3
-- Date:2011-11-11  
4
-- Author: Administrator     
5
--
6
-- Description ${cursor}
7
--------------------------------------------------------------------------------
8
library ieee;
9
use ieee.std_logic_1164.all;
10
use ieee.std_logic_unsigned.all;
11
 
12
 
13
entity SecAddrSaver is
14
        port (
15
                reset : in std_logic;
16
                ------------------- gpib ----------------------
17
                TADS : in std_logic;
18
                TPAS : in std_logic;
19
                LADS : in std_logic;
20
                LPAS : in std_logic;
21
                MSA_Dec : in std_logic;
22
                DI : in std_logic_vector(4 downto 0);
23
                currentSecAddr : out std_logic_vector(4 downto 0)
24
        );
25
end SecAddrSaver;
26
 
27
architecture arch of SecAddrSaver is
28
 
29
        signal goToSecAddressed : std_logic;
30
 
31
begin
32
 
33
        goToSecAddressed <= MSA_Dec and ((TADS and TPAS) or (LADS and LPAS));
34
 
35
        -- save secondary address
36
        process (reset, goToSecAddressed) begin
37
                if(reset = '1') then
38
                        currentSecAddr <= (others => '0');
39
                elsif rising_edge(goToSecAddressed) then
40
                        currentSecAddr <= DI(4 downto 0);
41
                end if;
42
        end process;
43
 
44
end arch;
45
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.