OpenCores
URL https://opencores.org/ocsvn/gpib_controller/gpib_controller/trunk

Subversion Repositories gpib_controller

[/] [gpib_controller/] [trunk/] [vhdl/] [src/] [gpib/] [SecondaryAddressDecoder.vhd] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 Andrewski
--------------------------------------------------------------------------------
2
-- Entity: SecondaryAddressDecoder
3
-- Date:2011-11-07  
4
-- Author: Administrator     
5
--
6
-- Description ${cursor}
7
--------------------------------------------------------------------------------
8
library ieee;
9
use ieee.std_logic_1164.all;
10
use ieee.std_logic_unsigned.all;
11
 
12
 
13
library ieee;
14
use ieee.std_logic_1164.all;
15
use ieee.std_logic_unsigned.all;
16
 
17
entity SecondaryAddressDecoder is
18
        port (
19
                -- secondary address mask
20
                secAddrMask : in std_logic_vector (31 downto 0);
21
                -- data input
22
                DI : in std_logic_vector (4 downto 0);
23
                -- secondary address detected
24
                secAddrDetected : out std_logic
25
        );
26
end SecondaryAddressDecoder;
27
 
28
architecture arch of SecondaryAddressDecoder is
29
 
30
begin
31
 
32
        secAddrDetected <= secAddrMask(conv_integer(DI));
33
 
34
end arch;
35
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.