OpenCores
URL https://opencores.org/ocsvn/gpib_controller/gpib_controller/trunk

Subversion Repositories gpib_controller

[/] [gpib_controller/] [trunk/] [vhdl/] [src/] [gpib_helper/] [EventMem.vhd] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 Andrewski
--------------------------------------------------------------------------------
2
-- Entity: EventMem
3
-- Date:2011-11-11  
4
-- Author: Administrator     
5
--
6
-- Description ${cursor}
7
--------------------------------------------------------------------------------
8
library ieee;
9
use ieee.std_logic_1164.all;
10
use ieee.std_logic_unsigned.all;
11
 
12
 
13
entity EventMem is
14
        port (
15
                reset : std_logic;
16
                -- event occured
17
                occured : in std_logic;
18
                -- event approved
19
                approved : in std_logic;
20
                -- output
21
                output : out std_logic
22
        );
23
end EventMem;
24
 
25
architecture arch of EventMem is
26
 
27
begin
28
 
29
        process(reset, occured, approved) begin
30
                if reset = '1' or approved = '1' then
31
                        output <= '0';
32
                elsif rising_edge(occured) then
33
                        output <= '1';
34
                end if;
35
        end process;
36
 
37
end arch;
38
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.