OpenCores
URL https://opencores.org/ocsvn/gpib_controller/gpib_controller/trunk

Subversion Repositories gpib_controller

[/] [gpib_controller/] [trunk/] [vhdl/] [src/] [gpib_helper/] [primitives/] [spartan3_16_bit_RAM_singlePort] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 Andrewski
 
2
 
3
        -- RAMB16_S18: Virtex-II/II-Pro, Spartan-3/3E 1k x 16 + 2 Parity bits Single-Port RAM
4
        -- Xilinx HDL Language Template, version 9.1i
5
 
6
        RAMB16_S18_inst : RAMB16_S18
7
        generic map (
8
                INIT => X"00000", --  Value of output RAM registers at startup
9
                SRVAL => X"00000", --  Ouput value upon SSR assertion
10
                WRITE_MODE => "WRITE_FIRST", --  WRITE_FIRST, READ_FIRST or NO_CHANGE
11
                -- The following INIT_xx declarations specify the intial contents of the RAM
12
                -- Address 0 to 255
13
                INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
14
                INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
15
                INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
16
                INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
17
                INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
18
                INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
19
                INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
20
                INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
21
                INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
22
                INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
23
                INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
24
                INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
25
                INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
26
                INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
27
                INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
28
                INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
29
                -- Address 256 to 511
30
                INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
31
                INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
32
                INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
33
                INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
34
                INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
35
                INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
36
                INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
37
                INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
38
                INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
39
                INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
40
                INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
41
                INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
42
                INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
43
                INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
44
                INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
45
                INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
46
                -- Address 512 to 767
47
                INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
48
                INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
49
                INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
50
                INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
51
                INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
52
                INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
53
                INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
54
                INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
55
                INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
56
                INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
57
                INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
58
                INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
59
                INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
60
                INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
61
                INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
62
                INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
63
                -- Address 768 to 1023
64
                INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
65
                INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
66
                INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
67
                INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
68
                INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
69
                INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
70
                INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
71
                INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
72
                INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
73
                INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
74
                INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
75
                INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
76
                INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
77
                INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
78
                INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
79
                INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
80
                -- The next set of INITP_xx are for the parity bits
81
                -- Address 0 to 255
82
                INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
83
                INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
84
                -- Address 256 to 511
85
                INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
86
                INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
87
                -- Address 512 to 767
88
                INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
89
                INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
90
                -- Address 768 to 1023
91
                INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
92
                INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000")
93
        port map (
94
                DO => m_data_out,               -- 16-bit Data Output
95
                DOP => m_parity_out,    -- 2-bit parity Output
96
                ADDR => m_addr, -- 10-bit Address Input
97
                CLK => m_clk,   -- Clock
98
                DI => m_data_in,        -- 16-bit Data Input
99
                DIP => m_parity_in,     -- 2-bit parity Input
100
                EN => m_en,             -- RAM Enable Input
101
                SSR => m_ssr,   -- Synchronous Set/Reset Input
102
                WE => m_we              -- Write Enable Input
103
        );
104
 
105
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.