OpenCores
URL https://opencores.org/ocsvn/gpib_controller/gpib_controller/trunk

Subversion Repositories gpib_controller

[/] [gpib_controller/] [trunk/] [vhdl/] [src/] [gpib_helper/] [primitives/] [spartan3_8_bit_RAM] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 Andrewski
 
2
-- RAMB16_S9: Virtex-II/II-Pro, Spartan-3/3E 2k x 8 + 1 Parity bit Single-Port RAM
3
        -- Xilinx HDL Language Template, version 9.1i
4
        RAMB16_S9_inst : RAMB16_S9 generic map (
5
                INIT => X"000", --  Value of output RAM registers at startup
6
                SRVAL => X"000", --  Ouput value upon SSR assertion
7
                WRITE_MODE => "WRITE_FIRST", --  WRITE_FIRST, READ_FIRST or NO_CHANGE
8
                -- The following INIT_xx declarations specify the initial contents of the RAM
9
                -- Address 0 to 511
10
                INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
11
                INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
12
                INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
13
                INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
14
                INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
15
                INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
16
                INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
17
                INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
18
                INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
19
                INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
20
                INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
21
                INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
22
                INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
23
                INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
24
                INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
25
                INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
26
                -- Address 512 to 1023
27
                INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
28
                INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
29
                INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
30
                INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
31
                INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
32
                INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
33
                INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
34
                INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
35
                INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
36
                INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
37
                INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
38
                INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
39
                INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
40
                INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
41
                INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
42
                INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
43
                -- Address 1024 to 1535
44
                INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
45
                INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
46
                INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
47
                INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
48
                INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
49
                INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
50
                INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
51
                INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
52
                INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
53
                INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
54
                INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
55
                INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
56
                INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
57
                INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
58
                INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
59
                INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
60
                -- Address 1536 to 2047
61
                INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
62
                INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
63
                INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
64
                INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
65
                INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
66
                INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
67
                INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
68
                INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
69
                INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
70
                INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
71
                INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
72
                INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
73
                INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
74
                INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
75
                INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
76
                INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
77
                -- The next set of INITP_xx are for the parity bits
78
                -- Address 0 to 511
79
                INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
80
                INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
81
                -- Address 512 to 1023
82
                INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
83
                INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
84
                -- Address 1024 to 1535
85
                INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
86
                INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
87
                -- Address 1536 to 2047
88
                INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
89
                INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000")
90
        port map (
91
                DO => m_data_out,  -- 8-bit Data Output
92
                DOP => m_parity_out, -- 1-bit parity Output
93
                ADDR => m_addr,    -- 11-bit Address Input
94
                CLK => m_clk,        -- Clock
95
                DI => m_data_in,   -- 8-bit Data Input
96
                DIP => m_parity_in,  -- 1-bit parity Input
97
                EN => m_en,        -- RAM Enable Input
98
                SSR => m_ssr,      -- Synchronous Set/Reset Input
99
                WE => m_we         -- Write Enable Input
100
        );

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.