OpenCores
URL https://opencores.org/ocsvn/gpib_controller/gpib_controller/trunk

Subversion Repositories gpib_controller

[/] [gpib_controller/] [trunk/] [vhdl/] [src/] [wrapper/] [gpibBusReg.vhd] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 Andrewski
--------------------------------------------------------------------------------
2
-- Entity: gpibBusReg
3
-- Date:2011-11-13  
4
-- Author: Administrator     
5
--
6
-- Description ${cursor}
7
--------------------------------------------------------------------------------
8
library ieee;
9
use ieee.std_logic_1164.all;
10
use ieee.std_logic_unsigned.all;
11
 
12
 
13
library ieee;
14
use ieee.std_logic_1164.all;
15
use ieee.std_logic_unsigned.all;
16
 
17
entity gpibBusReg is
18
        port (
19
                data_out : out std_logic_vector (15 downto 0);
20
                ------------------------------------------------
21
                -- interface signals
22
                DIO : in std_logic_vector (7 downto 0);
23
                -- attention
24
                ATN : in std_logic;
25
                -- data valid
26
                DAV : in std_logic;
27
                -- not ready for data
28
                NRFD : in std_logic;
29
                -- no data accepted
30
                NDAC : in std_logic;
31
                -- end or identify
32
                EOI : in std_logic;
33
                -- service request
34
                SRQ : in std_logic;
35
                -- interface clear
36
                IFC : in std_logic;
37
                -- remote enable
38
                REN : in std_logic
39
        );
40
end gpibBusReg;
41
 
42
architecture arch of gpibBusReg is
43
 
44
begin
45
 
46
        data_out(7 downto 0) <= DIO;
47
        data_out(8) <= ATN;
48
        data_out(9) <= DAV;
49
        data_out(10) <= NRFD;
50
        data_out(11) <= NDAC;
51
        data_out(12) <= EOI;
52
        data_out(13) <= SRQ;
53
        data_out(14) <= IFC;
54
        data_out(15) <= REN;
55
 
56
end arch;
57
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.