OpenCores
URL https://opencores.org/ocsvn/gpib_controller/gpib_controller/trunk

Subversion Repositories gpib_controller

[/] [gpib_controller/] [trunk/] [vhdl/] [src/] [wrapper/] [gpibControlReg.vhd] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 Andrewski
--------------------------------------------------------------------------------
2
-- Entity: gpibControlReg
3
-- Date:2011-11-12  
4
-- Author: Administrator     
5
--
6
-- Description ${cursor}
7
--------------------------------------------------------------------------------
8
library ieee;
9
use ieee.std_logic_1164.all;
10
use ieee.std_logic_unsigned.all;
11
 
12
 
13
entity gpibControlReg is
14
        port (
15
                reset : in std_logic;
16
                strobe : in std_logic;
17
                data_in : in std_logic_vector (15 downto 0);
18
                data_out : out std_logic_vector (15 downto 0);
19
                ------------------ gpib ------------------------
20
                ltn : out std_logic; -- listen (L, LE)
21
                lun : out std_logic; -- local unlisten (L, LE)
22
                rtl : out std_logic; -- return to local (RL)
23
                rsv : out std_logic; -- request service (SR)
24
                ist : out std_logic; -- individual status (PP)
25
                lpe : out std_logic; -- local poll enable (PP)
26
                ------------------------------------------------
27
                rsc : out std_logic; -- request system control (C)
28
                sic : out std_logic; -- send interface clear (C)
29
                sre : out std_logic; -- send remote enable (C)
30
                gts : out std_logic; -- go to standby (C)
31
                tcs : out std_logic; -- take control synchronously (C, AH)
32
                tca : out std_logic; -- take control asynchronously (C)
33
                rpp : out std_logic; -- request parallel poll (C)
34
                rec_stb : out std_logic -- receives status byte (C)
35
        );
36
end gpibControlReg;
37
 
38
architecture arch of gpibControlReg is
39
 
40
        signal inner_buf : std_logic_vector (15 downto 0);
41
 
42
begin
43
 
44
        ltn <= inner_buf(0);
45
        lun <= inner_buf(1);
46
        rtl <= inner_buf(2);
47
        rsv <= inner_buf(3);
48
        ist <= inner_buf(4);
49
        lpe <= inner_buf(5);
50
        ------------------------------------------------
51
        rsc <= inner_buf(6);
52
        sic <= inner_buf(7);
53
        sre <= inner_buf(8);
54
        gts <= inner_buf(9);
55
        tcs <= inner_buf(10);
56
        tca <= inner_buf(11);
57
        rpp <= inner_buf(12);
58
        rec_stb <= inner_buf(13);
59
 
60
        data_out <= inner_buf;
61
 
62
        process (reset, strobe) begin
63
                if reset = '1' then
64
                        inner_buf <= "0000000000000000";
65
                elsif rising_edge(strobe) then
66
                        inner_buf <= data_in;
67
                end if;
68
        end process;
69
 
70
end arch;
71
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.