OpenCores
URL https://opencores.org/ocsvn/gpib_controller/gpib_controller/trunk

Subversion Repositories gpib_controller

[/] [gpib_controller/] [trunk/] [vhdl/] [test/] [gpibInterfaceTest.vhd] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 Andrewski
--------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer:
4
--
5
-- Create Date:   23:21:05 10/21/2011
6
-- Design Name:   
7
-- Module Name:   /windows/h/projekty/elektronika/USB_to_HPIB/usbToHpib/test_scr//gpibInterfaceTest.vhd
8
-- Project Name:  usbToHpib
9
-- Target Device:  
10
-- Tool versions:  
11
-- Description:   
12
-- 
13
-- VHDL Test Bench Created by ISE for module: gpibInterface
14
-- 
15
-- Dependencies:
16
-- 
17
-- Revision:
18
-- Revision 0.01 - File Created
19
-- Additional Comments:
20
--
21
-- Notes: 
22
-- This testbench has been automatically generated using types std_logic and
23
-- std_logic_vector for the ports of the unit under test.  Xilinx recommends
24
-- that these types always be used for the top-level I/O of a design in order
25
-- to guarantee that the testbench will bind correctly to the post-implementation 
26
-- simulation model.
27
--------------------------------------------------------------------------------
28
LIBRARY ieee;
29
USE ieee.std_logic_1164.ALL;
30
USE ieee.std_logic_unsigned.all;
31
USE ieee.numeric_std.ALL;
32
 
33
use work.gpibComponents.all;
34
use work.helperComponents.all;
35
 
36
 
37
ENTITY gpibInterfaceTest IS
38
END gpibInterfaceTest;
39
 
40
ARCHITECTURE behavior OF gpibInterfaceTest IS
41
 
42
        -- Component Declaration for the Unit Under Test (UUT)
43
 
44
        component gpibCableEmulator is port (
45
                -- interface signals
46
                DIO_1 : in std_logic_vector (7 downto 0);
47
                output_valid_1 : in std_logic;
48
                DIO_2 : in std_logic_vector (7 downto 0);
49
                output_valid_2 : in std_logic;
50
                DIO : out std_logic_vector (7 downto 0);
51
                -- attention
52
                ATN_1 : in std_logic;
53
                ATN_2 : in std_logic;
54
                ATN : out std_logic;
55
                -- data valid
56
                DAV_1 : in std_logic;
57
                DAV_2 : in std_logic;
58
                DAV : out std_logic;
59
                -- not ready for data
60
                NRFD_1 : in std_logic;
61
                NRFD_2 : in std_logic;
62
                NRFD : out std_logic;
63
                -- no data accepted
64
                NDAC_1 : in std_logic;
65
                NDAC_2 : in std_logic;
66
                NDAC : out std_logic;
67
                -- end or identify
68
                EOI_1 : in std_logic;
69
                EOI_2 : in std_logic;
70
                EOI : out std_logic;
71
                -- service request
72
                SRQ_1 : in std_logic;
73
                SRQ_2 : in std_logic;
74
                SRQ : out std_logic;
75
                -- interface clear
76
                IFC_1 : in std_logic;
77
                IFC_2 : in std_logic;
78
                IFC : out std_logic;
79
                -- remote enable
80
                REN_1 : in std_logic;
81
                REN_2 : in std_logic;
82
                REN : out std_logic
83
        );
84
        end component;
85
 
86
        -- inputs common
87
        signal clk : std_logic := '0';
88
        signal reset : std_logic := '0';
89
        signal T1 : std_logic_vector(7 downto 0) := "00000100";
90
 
91
        -- inputs 1
92
        signal data_1 : std_logic_vector(7 downto 0) := (others => '0');
93
        signal status_byte_1 : std_logic_vector(7 downto 0) := (others => '0');
94
        signal rdy_1 : std_logic := '0';
95
        signal nba_1 : std_logic := '0';
96
        signal ltn_1 : std_logic := '0';
97
        signal lun_1 : std_logic := '0';
98
        signal lon_1 : std_logic := '0';
99
        signal ton_1 : std_logic := '0';
100
        signal endOf_1 : std_logic := '0';
101
        signal gts_1 : std_logic := '0';
102
        signal rpp_1 : std_logic := '0';
103
        signal tcs_1 : std_logic := '0';
104
        signal tca_1 : std_logic := '0';
105
        signal sic_1 : std_logic := '0';
106
        signal rsc_1 : std_logic := '0';
107
        signal sre_1 : std_logic := '0';
108
        signal rtl_1 : std_logic := '0';
109
        signal rsv_1 : std_logic := '0';
110
        signal ist_1 : std_logic := '0';
111
        signal lpe_1 : std_logic := '0';
112
 
113
        -- inputs 2
114
        signal data_2 : std_logic_vector(7 downto 0) := (others => '0');
115
        signal status_byte_2 : std_logic_vector(7 downto 0) := (others => '0');
116
        signal rdy_2 : std_logic := '0';
117
        signal nba_2 : std_logic := '0';
118
        signal ltn_2 : std_logic := '0';
119
        signal lun_2 : std_logic := '0';
120
        signal lon_2 : std_logic := '0';
121
        signal ton_2 : std_logic := '0';
122
        signal endOf_2 : std_logic := '0';
123
        signal gts_2 : std_logic := '0';
124
        signal rpp_2 : std_logic := '0';
125
        signal tcs_2 : std_logic := '0';
126
        signal tca_2 : std_logic := '0';
127
        signal sic_2 : std_logic := '0';
128
        signal rsc_2 : std_logic := '0';
129
        signal sre_2 : std_logic := '0';
130
        signal rtl_2 : std_logic := '0';
131
        signal rsv_2 : std_logic := '0';
132
        signal ist_2 : std_logic := '0';
133
        signal lpe_2 : std_logic := '0';
134
 
135
        -- outputs 1
136
        signal dvd_1 : std_logic;
137
        signal wnc_1 : std_logic;
138
        signal tac_1 : std_logic;
139
        signal cwrc_1 : std_logic;
140
        signal cwrd_1 : std_logic;
141
        signal clr_1 : std_logic;
142
        signal trg_1 : std_logic;
143
        signal atl_1 : std_logic;
144
        signal att_1 : std_logic;
145
        signal mla_1 : std_logic;
146
        signal lsb_1 : std_logic;
147
        signal spa_1 : std_logic;
148
        signal ppr_1 : std_logic;
149
        signal sreq_1 : std_logic;
150
        signal isLocal_1 : std_logic;
151
        signal currentSecAddr_1 : std_logic_vector (4 downto 0);
152
 
153
        -- outputs 2
154
        signal dvd_2 : std_logic;
155
        signal wnc_2 : std_logic;
156
        signal tac_2 : std_logic;
157
        signal cwrc_2 : std_logic;
158
        signal cwrd_2 : std_logic;
159
        signal clr_2 : std_logic;
160
        signal trg_2 : std_logic;
161
        signal atl_2 : std_logic;
162
        signal att_2 : std_logic;
163
        signal mla_2 : std_logic;
164
        signal lsb_2 : std_logic;
165
        signal spa_2 : std_logic;
166
        signal ppr_2 : std_logic;
167
        signal sreq_2 : std_logic;
168
        signal isLocal_2 : std_logic;
169
        signal currentSecAddr_2 : std_logic_vector (4 downto 0);
170
 
171
        -- common
172
        signal DO : std_logic_vector (7 downto 0);
173
        signal DI_1 : std_logic_vector (7 downto 0);
174
        signal output_valid_1 : std_logic;
175
        signal DI_2 : std_logic_vector (7 downto 0);
176
        signal output_valid_2 : std_logic;
177
        signal ATN_1, ATN_2, ATN : std_logic;
178
        signal DAV_1, DAV_2, DAV : std_logic;
179
        signal NRFD_1, NRFD_2, NRFD : std_logic;
180
        signal NDAC_1, NDAC_2, NDAC : std_logic;
181
        signal EOI_1, EOI_2, EOI : std_logic;
182
        signal SRQ_1, SRQ_2, SRQ : std_logic;
183
        signal IFC_1, IFC_2, IFC : std_logic;
184
        signal REN_1, REN_2, REN : std_logic;
185
 
186
 
187
        -- Clock period definitions
188
        constant clk_period : time := 2ps;
189
 
190
BEGIN
191
 
192
        -- Instantiate the Unit Under Test (UUT)
193
        gpib1: gpibInterface PORT MAP (
194
                clk => clk,
195
                reset => reset,
196
                isLE => '0',
197
                isTE => '0',
198
                lpeUsed => '0',
199
                fixedPpLine => "000",
200
                eosUsed => '0',
201
                eosMark => "00000000",
202
                myListAddr => "00001",
203
                myTalkAddr => "00001",
204
                secAddrMask => (others => '0'),
205
                data => data_1,
206
                status_byte => status_byte_1,
207
                T1 => T1,
208
                rdy => rdy_1,
209
                nba => nba_1,
210
                ltn => ltn_1,
211
                lun => lun_1,
212
                lon => lon_1,
213
                ton => ton_1,
214
                endOf => endOf_1,
215
                gts => gts_1,
216
                rpp => rpp_1,
217
                tcs => tcs_1,
218
                tca => tca_1,
219
                sic => sic_1,
220
                rsc => rsc_1,
221
                sre => sre_1,
222
                rtl => rtl_1,
223
                rsv => rsv_1,
224
                ist => ist_1,
225
                lpe => lpe_1,
226
                dvd => dvd_1,
227
                wnc => wnc_1,
228
                tac => tac_1,
229
                cwrc => cwrc_1,
230
                cwrd => cwrd_1,
231
                clr => clr_1,
232
                trg => trg_1,
233
                atl => atl_1,
234
                att => att_1,
235
                mla => mla_1,
236
                lsb => lsb_1,
237
                spa => spa_1,
238
                ppr => ppr_1,
239
                sreq => sreq_1,
240
                isLocal => isLocal_1,
241
                currentSecAddr => currentSecAddr_1,
242
                DI => DO,
243
                DO => DI_1,
244
                output_valid => output_valid_1,
245
                ATN_in => ATN,
246
                ATN_out => ATN_1,
247
                DAV_in => DAV,
248
                DAV_out => DAV_1,
249
                NRFD_in => NRFD,
250
                NRFD_out => NRFD_1,
251
                NDAC_in => NDAC,
252
                NDAC_out => NDAC_1,
253
                EOI_in => EOI,
254
                EOI_out => EOI_1,
255
                SRQ_in => SRQ,
256
                SRQ_out => SRQ_1,
257
                IFC_in => IFC,
258
                IFC_out => IFC_1,
259
                REN_in => REN,
260
                REN_out => REN_1
261
                );
262
 
263
        -- Instantiate the Unit Under Test (UUT)
264
        gpib2: gpibInterface PORT MAP (
265
                clk => clk,
266
                reset => reset,
267
                isLE => '0',
268
                isTE => '0',
269
                lpeUsed => '0',
270
                fixedPpLine => "000",
271
                eosUsed => '0',
272
                eosMark => "00000000",
273
                myListAddr => "00010",
274
                myTalkAddr => "00010",
275
                secAddrMask => (others => '0'),
276
                data => data_2,
277
                status_byte => status_byte_2,
278
                T1 => T1,
279
                rdy => rdy_2,
280
                nba => nba_2,
281
                ltn => ltn_2,
282
                lun => lun_2,
283
                lon => lon_2,
284
                ton => ton_2,
285
                endOf => endOf_2,
286
                gts => gts_2,
287
                rpp => rpp_2,
288
                tcs => tcs_2,
289
                tca => tca_2,
290
                sic => sic_2,
291
                rsc => rsc_2,
292
                sre => sre_2,
293
                rtl => rtl_2,
294
                rsv => rsv_2,
295
                ist => ist_2,
296
                lpe => lpe_2,
297
                dvd => dvd_2,
298
                wnc => wnc_2,
299
                tac => tac_2,
300
                cwrc => cwrc_2,
301
                cwrd => cwrd_2,
302
                clr => clr_2,
303
                trg => trg_2,
304
                atl => atl_2,
305
                att => att_2,
306
                mla => mla_2,
307
                lsb => lsb_2,
308
                spa => spa_2,
309
                ppr => ppr_2,
310
                sreq => sreq_2,
311
                isLocal => isLocal_2,
312
                currentSecAddr => currentSecAddr_2,
313
                DI => DO,
314
                DO => DI_2,
315
                output_valid => output_valid_2,
316
                ATN_in => ATN,
317
                ATN_out => ATN_2,
318
                DAV_in => DAV,
319
                DAV_out => DAV_2,
320
                NRFD_in => NRFD,
321
                NRFD_out => NRFD_2,
322
                NDAC_in => NDAC,
323
                NDAC_out => NDAC_2,
324
                EOI_in => EOI,
325
                EOI_out => EOI_2,
326
                SRQ_in => SRQ,
327
                SRQ_out => SRQ_2,
328
                IFC_in => IFC,
329
                IFC_out => IFC_2,
330
                REN_in => REN,
331
                REN_out => REN_2
332
                );
333
 
334
        ce: gpibCableEmulator port map (
335
                -- interface signals
336
                DIO_1 => DI_1,
337
                output_valid_1 => output_valid_1,
338
                DIO_2 => DI_2,
339
                output_valid_2 => output_valid_2,
340
                DIO => DO,
341
                -- attention
342
                ATN_1 => ATN_1, ATN_2 => ATN_2, ATN => ATN,
343
                DAV_1 => DAV_1, DAV_2 => DAV_2, DAV => DAV,
344
                NRFD_1 => NRFD_1, NRFD_2 => NRFD_2, NRFD => NRFD,
345
                NDAC_1 => NDAC_1, NDAC_2 => NDAC_2, NDAC => NDAC,
346
                EOI_1 => EOI_1, EOI_2 => EOI_2, EOI => EOI,
347
                SRQ_1 => SRQ_1, SRQ_2 => SRQ_2, SRQ => SRQ,
348
                IFC_1 => IFC_1, IFC_2 => IFC_2, IFC => IFC,
349
                REN_1 => REN_1, REN_2 => REN_2, REN => REN
350
        );
351
 
352
        -- Clock process definitions
353
        clk_process :process
354
        begin
355
                clk <= '0';
356
                wait for clk_period/2;
357
                clk <= '1';
358
                wait for clk_period/2;
359
        end process;
360
 
361
 
362
        -- Stimulus process
363
        stim_proc: process
364
        begin
365
                -- hold reset state for 10 clock periods.
366
                reset <= '1';
367
                wait for clk_period*10;
368
                reset <= '0';
369
                wait for clk_period*10;
370
 
371
                -- requests system control
372
                rsc_1 <= '1';
373
                -- interface clear
374
                sic_1 <= '1';
375
                wait for clk_period*2;
376
                sic_1 <= '0';
377
                wait until ifc_1='0';
378
                -- address gpib2 to listen
379
                data_1 <= "00100010";
380
                nba_1 <= '1';
381
                wait until DAV='1';
382
                nba_1 <= '0';
383
                wait for clk_period*20;
384
                -- address gpib1 to talk
385
                data_1 <= "01000001";
386
                wait for clk_period*1;
387
                nba_1 <= '1';
388
                wait until DAV='1';
389
                nba_1 <= '0';
390
                wait for clk_period*30;
391
                gts_1 <= '1';
392
                wait until tac_1='1';
393
                -- send data to gpib2
394
                rdy_2 <= '1';
395
                wait until NRFD='0';
396
                data_1 <= "10101010";
397
                nba_1 <= '1';
398
                wait until DAV_1='1';
399
                nba_1 <= '0';
400
                wait until dvd_2='1';
401
                rdy_2 <= '0';
402
                wait until NDAC_2='0';
403
                rdy_2 <= '1';
404
                -- send end data to gpib2
405
                wait until NRFD='0';
406
                data_1 <= "10101010";
407
                endOf_1 <= '1';
408
                nba_1 <= '1';
409
                wait until DAV_1='1';
410
                nba_1 <= '0';
411
                wait until dvd_2='1';
412
                rdy_2 <= '0';
413
                wait until NDAC_2='0';
414
                rdy_2 <= '1';
415
 
416
                wait;
417
        end process;
418
 
419
END;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.