OpenCores
URL https://opencores.org/ocsvn/gpib_controller/gpib_controller/trunk

Subversion Repositories gpib_controller

[/] [gpib_controller/] [trunk/] [vhdl/] [test/] [gpibWriterReaderTest.vhd] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 Andrewski
--------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer:
4
--
5
-- Create Date:   23:21:05 10/21/2011
6
-- Design Name:   
7
-- Module Name:   /windows/h/projekty/elektronika/USB_to_HPIB/usbToHpib/test_scr//gpibInterfaceTest.vhd
8
-- Project Name:  usbToHpib
9
-- Target Device:  
10
-- Tool versions:  
11
-- Description:   
12
-- 
13
-- VHDL Test Bench Created by ISE for module: gpibInterface
14
-- 
15
-- Dependencies:
16
-- 
17
-- Revision:
18
-- Revision 0.01 - File Created
19
-- Additional Comments:
20
--
21
-- Notes: 
22
-- This testbench has been automatically generated using types std_logic and
23
-- std_logic_vector for the ports of the unit under test.  Xilinx recommends
24
-- that these types always be used for the top-level I/O of a design in order
25
-- to guarantee that the testbench will bind correctly to the post-implementation 
26
-- simulation model.
27
--------------------------------------------------------------------------------
28
LIBRARY ieee;
29
USE ieee.std_logic_1164.ALL;
30
USE ieee.std_logic_unsigned.all;
31
USE ieee.numeric_std.ALL;
32
 
33
use work.gpibComponents.all;
34
use work.helperComponents.all;
35
 
36
 
37
ENTITY gpibWriterReaderTest IS
38
END gpibWriterReaderTest;
39
 
40
ARCHITECTURE behavior OF gpibWriterReaderTest IS
41
 
42
        -- Component Declaration for the Unit Under Test (UUT)
43
 
44
        component gpibCableEmulator is port (
45
                -- interface signals
46
                DIO_1 : in std_logic_vector (7 downto 0);
47
                output_valid_1 : in std_logic;
48
                DIO_2 : in std_logic_vector (7 downto 0);
49
                output_valid_2 : in std_logic;
50
                DIO : out std_logic_vector (7 downto 0);
51
                -- attention
52
                ATN_1 : in std_logic;
53
                ATN_2 : in std_logic;
54
                ATN : out std_logic;
55
                -- data valid
56
                DAV_1 : in std_logic;
57
                DAV_2 : in std_logic;
58
                DAV : out std_logic;
59
                -- not ready for data
60
                NRFD_1 : in std_logic;
61
                NRFD_2 : in std_logic;
62
                NRFD : out std_logic;
63
                -- no data accepted
64
                NDAC_1 : in std_logic;
65
                NDAC_2 : in std_logic;
66
                NDAC : out std_logic;
67
                -- end or identify
68
                EOI_1 : in std_logic;
69
                EOI_2 : in std_logic;
70
                EOI : out std_logic;
71
                -- service request
72
                SRQ_1 : in std_logic;
73
                SRQ_2 : in std_logic;
74
                SRQ : out std_logic;
75
                -- interface clear
76
                IFC_1 : in std_logic;
77
                IFC_2 : in std_logic;
78
                IFC : out std_logic;
79
                -- remote enable
80
                REN_1 : in std_logic;
81
                REN_2 : in std_logic;
82
                REN : out std_logic
83
        );
84
        end component;
85
 
86
        -- inputs common
87
        signal clk : std_logic := '0';
88
        signal reset : std_logic := '0';
89
        signal T1 : std_logic_vector(7 downto 0) := "00000100";
90
 
91
        -- inputs 1
92
        signal data_1 : std_logic_vector(7 downto 0) := (others => '0');
93
        signal status_byte_1 : std_logic_vector(7 downto 0) := (others => '0');
94
        signal rdy_1 : std_logic := '0';
95
        signal nba_1 : std_logic := '0';
96
        signal ltn_1 : std_logic := '0';
97
        signal lun_1 : std_logic := '0';
98
        signal lon_1 : std_logic := '0';
99
        signal ton_1 : std_logic := '0';
100
        signal endOf_1 : std_logic := '0';
101
        signal gts_1 : std_logic := '0';
102
        signal rpp_1 : std_logic := '0';
103
        signal tcs_1 : std_logic := '0';
104
        signal tca_1 : std_logic := '0';
105
        signal sic_1 : std_logic := '0';
106
        signal rsc_1 : std_logic := '0';
107
        signal sre_1 : std_logic := '0';
108
        signal rtl_1 : std_logic := '0';
109
        signal rsv_1 : std_logic := '0';
110
        signal ist_1 : std_logic := '0';
111
        signal lpe_1 : std_logic := '0';
112
 
113
        -- inputs 2
114
        signal data_2 : std_logic_vector(7 downto 0) := (others => '0');
115
        signal status_byte_2 : std_logic_vector(7 downto 0) := (others => '0');
116
        signal rdy_2 : std_logic := '0';
117
        signal nba_2 : std_logic := '0';
118
        signal ltn_2 : std_logic := '0';
119
        signal lun_2 : std_logic := '0';
120
        signal lon_2 : std_logic := '0';
121
        signal ton_2 : std_logic := '0';
122
        signal endOf_2 : std_logic := '0';
123
        signal gts_2 : std_logic := '0';
124
        signal rpp_2 : std_logic := '0';
125
        signal tcs_2 : std_logic := '0';
126
        signal tca_2 : std_logic := '0';
127
        signal sic_2 : std_logic := '0';
128
        signal rsc_2 : std_logic := '0';
129
        signal sre_2 : std_logic := '0';
130
        signal rtl_2 : std_logic := '0';
131
        signal rsv_2 : std_logic := '0';
132
        signal ist_2 : std_logic := '0';
133
        signal lpe_2 : std_logic := '0';
134
 
135
        -- outputs 1
136
        signal dvd_1 : std_logic;
137
        signal wnc_1 : std_logic;
138
        signal tac_1 : std_logic;
139
        signal lac_1 : std_logic;
140
        signal cwrc_1 : std_logic;
141
        signal cwrd_1 : std_logic;
142
        signal clr_1 : std_logic;
143
        signal trg_1 : std_logic;
144
        signal atl_1 : std_logic;
145
        signal att_1 : std_logic;
146
        signal mla_1 : std_logic;
147
        signal lsb_1 : std_logic;
148
        signal spa_1 : std_logic;
149
        signal ppr_1 : std_logic;
150
        signal sreq_1 : std_logic;
151
        signal isLocal_1 : std_logic;
152
        signal currentSecAddr_1 : std_logic_vector (4 downto 0);
153
 
154
        -- outputs 2
155
        signal dvd_2 : std_logic;
156
        signal wnc_2 : std_logic;
157
        signal tac_2 : std_logic;
158
        signal lac_2 : std_logic;
159
        signal cwrc_2 : std_logic;
160
        signal cwrd_2 : std_logic;
161
        signal clr_2 : std_logic;
162
        signal trg_2 : std_logic;
163
        signal atl_2 : std_logic;
164
        signal att_2 : std_logic;
165
        signal mla_2 : std_logic;
166
        signal lsb_2 : std_logic;
167
        signal spa_2 : std_logic;
168
        signal ppr_2 : std_logic;
169
        signal sreq_2 : std_logic;
170
        signal isLocal_2 : std_logic;
171
        signal currentSecAddr_2 : std_logic_vector (4 downto 0);
172
 
173
        -- common
174
        signal DO : std_logic_vector (7 downto 0);
175
        signal DI_1 : std_logic_vector (7 downto 0);
176
        signal output_valid_1 : std_logic;
177
        signal DI_2 : std_logic_vector (7 downto 0);
178
        signal output_valid_2 : std_logic;
179
        signal ATN_1, ATN_2, ATN : std_logic;
180
        signal DAV_1, DAV_2, DAV : std_logic;
181
        signal NRFD_1, NRFD_2, NRFD : std_logic;
182
        signal NDAC_1, NDAC_2, NDAC : std_logic;
183
        signal EOI_1, EOI_2, EOI : std_logic;
184
        signal SRQ_1, SRQ_2, SRQ : std_logic;
185
        signal IFC_1, IFC_2, IFC : std_logic;
186
        signal REN_1, REN_2, REN : std_logic;
187
 
188
        type WR_BUF_TYPE is
189
                array (0 to 15) of std_logic_vector (7 downto 0);
190
 
191
        -- gpib reader
192
        signal buf_interrupt : std_logic;
193
        signal data_available : std_logic;
194
        signal last_byte_addr : std_logic_vector (3 downto 0);
195
        signal end_of_stream : std_logic;
196
        signal byte_addr : std_logic_vector (3 downto 0);
197
        signal data_out : std_logic_vector (7 downto 0);
198
        signal reset_buffer : std_logic := '0';
199
        signal dataSecAddr : std_logic_vector (4 downto 0);
200
        signal buf_strobe : std_logic;
201
        signal buffer_byte_mode : std_logic;
202
        signal read_buffer : WR_BUF_TYPE;
203
 
204
        -- gpib writer
205
        signal w_last_byte_addr : std_logic_vector (3 downto 0)
206
                := (others => '0');
207
        signal w_end_of_stream : std_logic := '0';
208
        signal w_data_available : std_logic := '0';
209
        signal w_buf_interrupt : std_logic;
210
        signal w_data_in : std_logic_vector (7 downto 0);
211
        signal w_byte_addr : std_logic_vector (3 downto 0);
212
        signal w_reset_buffer : std_logic := '0';
213
        signal w_buffer_byte_mode : std_logic;
214
        signal w_write_buffer : WR_BUF_TYPE;
215
 
216
        -- Clock period definitions
217
        constant clk_period : time := 2ps;
218
 
219
BEGIN
220
 
221
        -- Instantiate the Unit Under Test (UUT)
222
        gpib1: gpibInterface PORT MAP (
223
                clk => clk,
224
                reset => reset,
225
                isLE => '0',
226
                isTE => '0',
227
                lpeUsed => '0',
228
                fixedPpLine => "000",
229
                eosUsed => '0',
230
                eosMark => "00000000",
231
                myListAddr => "00001",
232
                myTalkAddr => "00001",
233
                secAddrMask => (others => '0'),
234
                data => data_1,
235
                status_byte => status_byte_1,
236
                T1 => T1,
237
                rdy => rdy_1,
238
                nba => nba_1,
239
                ltn => ltn_1,
240
                lun => lun_1,
241
                lon => lon_1,
242
                ton => ton_1,
243
                endOf => endOf_1,
244
                gts => gts_1,
245
                rpp => rpp_1,
246
                tcs => tcs_1,
247
                tca => tca_1,
248
                sic => sic_1,
249
                rsc => rsc_1,
250
                sre => sre_1,
251
                rtl => rtl_1,
252
                rsv => rsv_1,
253
                ist => ist_1,
254
                lpe => lpe_1,
255
                dvd => dvd_1,
256
                wnc => wnc_1,
257
                tac => tac_1,
258
                lac => lac_1,
259
                cwrc => cwrc_1,
260
                cwrd => cwrd_1,
261
                clr => clr_1,
262
                trg => trg_1,
263
                atl => atl_1,
264
                att => att_1,
265
                mla => mla_1,
266
                lsb => lsb_1,
267
                spa => spa_1,
268
                ppr => ppr_1,
269
                sreq => sreq_1,
270
                isLocal => isLocal_1,
271
                currentSecAddr => currentSecAddr_1,
272
                DI => DO,
273
                DO => DI_1,
274
                output_valid => output_valid_1,
275
                ATN_in => ATN,
276
                ATN_out => ATN_1,
277
                DAV_in => DAV,
278
                DAV_out => DAV_1,
279
                NRFD_in => NRFD,
280
                NRFD_out => NRFD_1,
281
                NDAC_in => NDAC,
282
                NDAC_out => NDAC_1,
283
                EOI_in => EOI,
284
                EOI_out => EOI_1,
285
                SRQ_in => SRQ,
286
                SRQ_out => SRQ_1,
287
                IFC_in => IFC,
288
                IFC_out => IFC_1,
289
                REN_in => REN,
290
                REN_out => REN_1
291
                );
292
 
293
        -- Instantiate the Unit Under Test (UUT)
294
        gpib2: gpibInterface PORT MAP (
295
                clk => clk,
296
                reset => reset,
297
                isLE => '0',
298
                isTE => '0',
299
                lpeUsed => '0',
300
                fixedPpLine => "000",
301
                eosUsed => '0',
302
                eosMark => "00000000",
303
                myListAddr => "00010",
304
                myTalkAddr => "00010",
305
                secAddrMask => (others => '0'),
306
                data => data_2,
307
                status_byte => status_byte_2,
308
                T1 => T1,
309
                rdy => rdy_2,
310
                nba => nba_2,
311
                ltn => ltn_2,
312
                lun => lun_2,
313
                lon => lon_2,
314
                ton => ton_2,
315
                endOf => endOf_2,
316
                gts => gts_2,
317
                rpp => rpp_2,
318
                tcs => tcs_2,
319
                tca => tca_2,
320
                sic => sic_2,
321
                rsc => rsc_2,
322
                sre => sre_2,
323
                rtl => rtl_2,
324
                rsv => rsv_2,
325
                ist => ist_2,
326
                lpe => lpe_2,
327
                dvd => dvd_2,
328
                wnc => wnc_2,
329
                tac => tac_2,
330
                lac => lac_2,
331
                cwrc => cwrc_2,
332
                cwrd => cwrd_2,
333
                clr => clr_2,
334
                trg => trg_2,
335
                atl => atl_2,
336
                att => att_2,
337
                mla => mla_2,
338
                lsb => lsb_2,
339
                spa => spa_2,
340
                ppr => ppr_2,
341
                sreq => sreq_2,
342
                isLocal => isLocal_2,
343
                currentSecAddr => currentSecAddr_2,
344
                DI => DO,
345
                DO => DI_2,
346
                output_valid => output_valid_2,
347
                ATN_in => ATN,
348
                ATN_out => ATN_2,
349
                DAV_in => DAV,
350
                DAV_out => DAV_2,
351
                NRFD_in => NRFD,
352
                NRFD_out => NRFD_2,
353
                NDAC_in => NDAC,
354
                NDAC_out => NDAC_2,
355
                EOI_in => EOI,
356
                EOI_out => EOI_2,
357
                SRQ_in => SRQ,
358
                SRQ_out => SRQ_2,
359
                IFC_in => IFC,
360
                IFC_out => IFC_2,
361
                REN_in => REN,
362
                REN_out => REN_2
363
                );
364
 
365
        ce: gpibCableEmulator port map (
366
                -- interface signals
367
                DIO_1 => DI_1,
368
                output_valid_1 => output_valid_1,
369
                DIO_2 => DI_2,
370
                output_valid_2 => output_valid_2,
371
                DIO => DO,
372
                -- attention
373
                ATN_1 => ATN_1, ATN_2 => ATN_2, ATN => ATN,
374
                DAV_1 => DAV_1, DAV_2 => DAV_2, DAV => DAV,
375
                NRFD_1 => NRFD_1, NRFD_2 => NRFD_2, NRFD => NRFD,
376
                NDAC_1 => NDAC_1, NDAC_2 => NDAC_2, NDAC => NDAC,
377
                EOI_1 => EOI_1, EOI_2 => EOI_2, EOI => EOI,
378
                SRQ_1 => SRQ_1, SRQ_2 => SRQ_2, SRQ => SRQ,
379
                IFC_1 => IFC_1, IFC_2 => IFC_2, IFC => IFC,
380
                REN_1 => REN_1, REN_2 => REN_2, REN => REN
381
        );
382
 
383
        process (buf_strobe) begin
384
                if rising_edge(buf_strobe) then
385
                        read_buffer(conv_integer(w_byte_addr)) <= data_out;
386
                end if;
387
        end process;
388
 
389
        gr: gpibReader generic map (ADDR_WIDTH => 4) port map (
390
                clk => clk, reset => reset,
391
                ------------------------------------------------------------------------
392
                ------ GPIB interface --------------------------------------------------
393
                ------------------------------------------------------------------------
394
                data_in => DO, dvd => dvd_2, lac => lac_2, lsb => lsb_2, rdy => rdy_2,
395
                ------------------------------------------------------------------------
396
                ------ external interface ----------------------------------------------
397
                ------------------------------------------------------------------------
398
                isLE => '0', secAddr => (others => '0'), dataSecAddr => dataSecAddr,
399
                buf_interrupt => buf_interrupt, data_available => data_available,
400
                last_byte_addr => last_byte_addr, end_of_stream => end_of_stream,
401
                byte_addr => byte_addr, data_out => data_out,
402
                buf_strobe => buf_strobe, buffer_byte_mode => buffer_byte_mode,
403
                reset_buffer => reset_buffer
404
        );
405
 
406
        w_data_in <= w_write_buffer(conv_integer(w_byte_addr));
407
 
408
        gw: gpibWriter generic map (ADDR_WIDTH => 4) port map (
409
                        clk => clk, reset => reset,
410
                        ------------------------------------------------------------------------
411
                        ------ GPIB interface --------------------------------------------------
412
                        ------------------------------------------------------------------------
413
                        data_out => data_1, wnc => wnc_1, spa => spa_1, nba => nba_1,
414
                        endOf => endOf_1, tac => tac_1, cwrc => cwrc_1,
415
                        ------------------------------------------------------------------------
416
                        ------ external interface ----------------------------------------------
417
                        ------------------------------------------------------------------------
418
                        isTE => '0', secAddr => (others => '0'), dataSecAddr => (others => '0'),
419
                        last_byte_addr => w_last_byte_addr, end_of_stream => w_end_of_stream,
420
                        data_available => w_data_available, buf_interrupt => w_buf_interrupt,
421
                        data_in => w_data_in, byte_addr => w_byte_addr,
422
                        buffer_byte_mode => w_buffer_byte_mode,
423
                        reset_buffer => w_reset_buffer
424
                );
425
 
426
        -- Clock process definitions
427
        clk_process :process
428
        begin
429
                clk <= '0';
430
                wait for clk_period/2;
431
                clk <= '1';
432
                wait for clk_period/2;
433
        end process;
434
 
435
 
436
        -- Stimulus process
437
        stim_proc: process
438
        begin
439
                -- hold reset state for 10 clock periods.
440
                reset <= '1';
441
                wait for clk_period*10;
442
                reset <= '0';
443
                wait for clk_period*10;
444
 
445
                -- requests system control
446
                rsc_1 <= '1';
447
 
448
                -- interface clear
449
                sic_1 <= '1';
450
                wait until IFC_1 = '1';
451
                sic_1 <= '0';
452
                wait until IFC_1 = '0';
453
 
454
                -- gpib2 to listen
455
                w_write_buffer(0) <= "00100010";
456
                -- gpib1 to talk
457
                w_write_buffer(1) <= "01000001";
458
                w_last_byte_addr <= "0001";
459
                w_end_of_stream <= '1';
460
                w_data_available <= '1';
461
 
462
                wait until w_buf_interrupt='1';
463
 
464
                gts_1 <= '1';
465
                wait until ATN='0';
466
 
467
                w_reset_buffer <= '1';
468
                wait for clk_period*2;
469
                w_reset_buffer <= '0';
470
 
471
                wait for clk_period*1;
472
 
473
                w_write_buffer(0) <= "10101010";
474
                w_write_buffer(1) <= "01010101";
475
                w_write_buffer(2) <= "11111111";
476
                w_last_byte_addr <= "0010";
477
                w_data_available <= '1';
478
 
479
                wait until buf_interrupt='1';
480
 
481
                wait for clk_period*1;
482
                assert read_buffer(0) = "10101010";
483
 
484
                wait for clk_period*1;
485
                assert read_buffer(1) = "01010101";
486
 
487
                wait for clk_period*1;
488
                assert read_buffer(2) = "11111111";
489
 
490
                report "$$$ END OF TEST - write read $$$";
491
 
492
                wait;
493
        end process;
494
 
495
END;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.