OpenCores
URL https://opencores.org/ocsvn/graphicsaccelerator/graphicsaccelerator/trunk

Subversion Repositories graphicsaccelerator

[/] [graphicsaccelerator/] [trunk/] [FreqDiv.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 OmarMokhta
library IEEE;
2
use IEEE.STD_LOGIC_1164.ALL;
3
use IEEE.numeric_std.all;
4
use IEEE.std_logic_unsigned.all;
5
entity FreqDiv is
6
    Port ( Clk : in  STD_LOGIC;
7
           Clk2 : out  STD_LOGIC);
8
end FreqDiv;
9
architecture Behavioral of FreqDiv is
10
signal counter : STD_LOGIC_VECTOR (19 downto 0);
11
begin
12
Clk2 <= counter(19);
13
process (Clk) begin
14
        if (rising_edge(Clk)) then
15
                counter <= counter + 1;
16
        end if;
17
end process;
18
end Behavioral;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.