OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [par/] [altera/] [ip/] [dcfifo_128b_16.v] - Blame information for rev 68

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 68 ash_riple
// megafunction wizard: %FIFO%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: dcfifo 
5
 
6
// ============================================================
7
// File Name: dcfifo_128b_16.v
8
// Megafunction Name(s):
9
//                      dcfifo
10
//
11
// Simulation Library Files(s):
12
//                      altera_mf
13
// ============================================================
14
// ************************************************************
15
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
//
17
// 12.1 Build 177 11/07/2012 SJ Web Edition
18
// ************************************************************
19
 
20
 
21
//Copyright (C) 1991-2012 Altera Corporation
22
//Your use of Altera Corporation's design tools, logic functions 
23
//and other software and tools, and its AMPP partner logic 
24
//functions, and any output files from any of the foregoing 
25
//(including device programming or simulation files), and any 
26
//associated documentation or information are expressly subject 
27
//to the terms and conditions of the Altera Program License 
28
//Subscription Agreement, Altera MegaCore Function License 
29
//Agreement, or other applicable license agreement, including, 
30
//without limitation, that your use is for the sole purpose of 
31
//programming logic devices manufactured by Altera and sold by 
32
//Altera or its authorized distributors.  Please refer to the 
33
//applicable agreement for further details.
34
 
35
 
36
// synopsys translate_off
37
`timescale 1 ps / 1 ps
38
// synopsys translate_on
39
module dcfifo_128b_16 (
40
        aclr,
41
        data,
42
        rdclk,
43
        rdreq,
44
        wrclk,
45
        wrreq,
46
        q,
47
        rdempty,
48
        rdusedw,
49
        wrfull,
50
        wrusedw);
51
 
52
        input     aclr;
53
        input   [127:0]  data;
54
        input     rdclk;
55
        input     rdreq;
56
        input     wrclk;
57
        input     wrreq;
58
        output  [127:0]  q;
59
        output    rdempty;
60
        output  [3:0]  rdusedw;
61
        output    wrfull;
62
        output  [3:0]  wrusedw;
63
`ifndef ALTERA_RESERVED_QIS
64
// synopsys translate_off
65
`endif
66
        tri0      aclr;
67
`ifndef ALTERA_RESERVED_QIS
68
// synopsys translate_on
69
`endif
70
 
71
        wire  sub_wire0;
72
        wire [127:0] sub_wire1;
73
        wire  sub_wire2;
74
        wire [3:0] sub_wire3;
75
        wire [3:0] sub_wire4;
76
        wire  wrfull = sub_wire0;
77
        wire [127:0] q = sub_wire1[127:0];
78
        wire  rdempty = sub_wire2;
79
        wire [3:0] wrusedw = sub_wire3[3:0];
80
        wire [3:0] rdusedw = sub_wire4[3:0];
81
 
82
        dcfifo  dcfifo_component (
83
                                .rdclk (rdclk),
84
                                .wrclk (wrclk),
85
                                .wrreq (wrreq),
86
                                .aclr (aclr),
87
                                .data (data),
88
                                .rdreq (rdreq),
89
                                .wrfull (sub_wire0),
90
                                .q (sub_wire1),
91
                                .rdempty (sub_wire2),
92
                                .wrusedw (sub_wire3),
93
                                .rdusedw (sub_wire4),
94
                                .rdfull (),
95
                                .wrempty ());
96
        defparam
97
                dcfifo_component.intended_device_family = "Cyclone III",
98
                dcfifo_component.lpm_numwords = 16,
99
                dcfifo_component.lpm_showahead = "OFF",
100
                dcfifo_component.lpm_type = "dcfifo",
101
                dcfifo_component.lpm_width = 128,
102
                dcfifo_component.lpm_widthu = 4,
103
                dcfifo_component.overflow_checking = "ON",
104
                dcfifo_component.rdsync_delaypipe = 4,
105
                dcfifo_component.underflow_checking = "ON",
106
                dcfifo_component.use_eab = "ON",
107
                dcfifo_component.write_aclr_synch = "OFF",
108
                dcfifo_component.wrsync_delaypipe = 4;
109
 
110
 
111
endmodule
112
 
113
// ============================================================
114
// CNX file retrieval info
115
// ============================================================
116
// Retrieval info: PRIVATE: AlmostEmpty NUMERIC "0"
117
// Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "-1"
118
// Retrieval info: PRIVATE: AlmostFull NUMERIC "0"
119
// Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1"
120
// Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0"
121
// Retrieval info: PRIVATE: Clock NUMERIC "4"
122
// Retrieval info: PRIVATE: Depth NUMERIC "16"
123
// Retrieval info: PRIVATE: Empty NUMERIC "1"
124
// Retrieval info: PRIVATE: Full NUMERIC "1"
125
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
126
// Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0"
127
// Retrieval info: PRIVATE: LegacyRREQ NUMERIC "1"
128
// Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0"
129
// Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "0"
130
// Retrieval info: PRIVATE: Optimize NUMERIC "0"
131
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
132
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
133
// Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "0"
134
// Retrieval info: PRIVATE: UsedW NUMERIC "1"
135
// Retrieval info: PRIVATE: Width NUMERIC "128"
136
// Retrieval info: PRIVATE: dc_aclr NUMERIC "1"
137
// Retrieval info: PRIVATE: diff_widths NUMERIC "0"
138
// Retrieval info: PRIVATE: msb_usedw NUMERIC "0"
139
// Retrieval info: PRIVATE: output_width NUMERIC "128"
140
// Retrieval info: PRIVATE: rsEmpty NUMERIC "1"
141
// Retrieval info: PRIVATE: rsFull NUMERIC "0"
142
// Retrieval info: PRIVATE: rsUsedW NUMERIC "1"
143
// Retrieval info: PRIVATE: sc_aclr NUMERIC "0"
144
// Retrieval info: PRIVATE: sc_sclr NUMERIC "0"
145
// Retrieval info: PRIVATE: wsEmpty NUMERIC "0"
146
// Retrieval info: PRIVATE: wsFull NUMERIC "1"
147
// Retrieval info: PRIVATE: wsUsedW NUMERIC "1"
148
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
149
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
150
// Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "16"
151
// Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "OFF"
152
// Retrieval info: CONSTANT: LPM_TYPE STRING "dcfifo"
153
// Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "128"
154
// Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "4"
155
// Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "ON"
156
// Retrieval info: CONSTANT: RDSYNC_DELAYPIPE NUMERIC "4"
157
// Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "ON"
158
// Retrieval info: CONSTANT: USE_EAB STRING "ON"
159
// Retrieval info: CONSTANT: WRITE_ACLR_SYNCH STRING "OFF"
160
// Retrieval info: CONSTANT: WRSYNC_DELAYPIPE NUMERIC "4"
161
// Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND "aclr"
162
// Retrieval info: USED_PORT: data 0 0 128 0 INPUT NODEFVAL "data[127..0]"
163
// Retrieval info: USED_PORT: q 0 0 128 0 OUTPUT NODEFVAL "q[127..0]"
164
// Retrieval info: USED_PORT: rdclk 0 0 0 0 INPUT NODEFVAL "rdclk"
165
// Retrieval info: USED_PORT: rdempty 0 0 0 0 OUTPUT NODEFVAL "rdempty"
166
// Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL "rdreq"
167
// Retrieval info: USED_PORT: rdusedw 0 0 4 0 OUTPUT NODEFVAL "rdusedw[3..0]"
168
// Retrieval info: USED_PORT: wrclk 0 0 0 0 INPUT NODEFVAL "wrclk"
169
// Retrieval info: USED_PORT: wrfull 0 0 0 0 OUTPUT NODEFVAL "wrfull"
170
// Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL "wrreq"
171
// Retrieval info: USED_PORT: wrusedw 0 0 4 0 OUTPUT NODEFVAL "wrusedw[3..0]"
172
// Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0
173
// Retrieval info: CONNECT: @data 0 0 128 0 data 0 0 128 0
174
// Retrieval info: CONNECT: @rdclk 0 0 0 0 rdclk 0 0 0 0
175
// Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0
176
// Retrieval info: CONNECT: @wrclk 0 0 0 0 wrclk 0 0 0 0
177
// Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0
178
// Retrieval info: CONNECT: q 0 0 128 0 @q 0 0 128 0
179
// Retrieval info: CONNECT: rdempty 0 0 0 0 @rdempty 0 0 0 0
180
// Retrieval info: CONNECT: rdusedw 0 0 4 0 @rdusedw 0 0 4 0
181
// Retrieval info: CONNECT: wrfull 0 0 0 0 @wrfull 0 0 0 0
182
// Retrieval info: CONNECT: wrusedw 0 0 4 0 @wrusedw 0 0 4 0
183
// Retrieval info: GEN_FILE: TYPE_NORMAL dcfifo_128b_16.v TRUE
184
// Retrieval info: GEN_FILE: TYPE_NORMAL dcfifo_128b_16.inc FALSE
185
// Retrieval info: GEN_FILE: TYPE_NORMAL dcfifo_128b_16.cmp FALSE
186
// Retrieval info: GEN_FILE: TYPE_NORMAL dcfifo_128b_16.bsf FALSE
187
// Retrieval info: GEN_FILE: TYPE_NORMAL dcfifo_128b_16_inst.v FALSE
188
// Retrieval info: GEN_FILE: TYPE_NORMAL dcfifo_128b_16_bb.v FALSE
189
// Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.