OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [par/] [xilinx/] [cleanup.bat] - Blame information for rev 68

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 68 ash_riple
rmdir /S /Q .Xil ha1588.srcs ha1588.data ha1588.runs ha1588.cache
2
del *.log *.jou

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.