OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [par/] [xilinx/] [ip/] [dcfifo_128b_16.v] - Blame information for rev 68

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 68 ash_riple
/*******************************************************************************
2
*     This file is owned and controlled by Xilinx and must be used solely      *
3
*     for design, simulation, implementation and creation of design files      *
4
*     limited to Xilinx devices or technologies. Use with non-Xilinx           *
5
*     devices or technologies is expressly prohibited and immediately          *
6
*     terminates your license.                                                 *
7
*                                                                              *
8
*     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY     *
9
*     FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY     *
10
*     PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE              *
11
*     IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS       *
12
*     MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY       *
13
*     CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY        *
14
*     RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY        *
15
*     DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE    *
16
*     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR           *
17
*     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF          *
18
*     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A    *
19
*     PARTICULAR PURPOSE.                                                      *
20
*                                                                              *
21
*     Xilinx products are not intended for use in life support appliances,     *
22
*     devices, or systems.  Use in such applications are expressly             *
23
*     prohibited.                                                              *
24
*                                                                              *
25
*     (c) Copyright 1995-2013 Xilinx, Inc.                                     *
26
*     All rights reserved.                                                     *
27
*******************************************************************************/
28
// You must compile the wrapper file dcfifo_128b_16.v when simulating
29
// the core, dcfifo_128b_16. When compiling the wrapper file, be sure to
30
// reference the XilinxCoreLib Verilog simulation library. For detailed
31
// instructions, please refer to the "CORE Generator Help".
32
 
33
// The synthesis directives "translate_off/translate_on" specified below are
34
// supported by Xilinx, Mentor Graphics and Synplicity synthesis
35
// tools. Ensure they are correct for your synthesis tool(s).
36
 
37
`timescale 1ns/1ps
38
 
39
module dcfifo_128b_16(
40
  rst,
41
  wr_clk,
42
  rd_clk,
43
  din,
44
  wr_en,
45
  rd_en,
46
  dout,
47
  full,
48
  empty,
49
  rd_data_count,
50
  wr_data_count
51
);
52
 
53
input rst;
54
input wr_clk;
55
input rd_clk;
56
input [127 : 0] din;
57
input wr_en;
58
input rd_en;
59
output [127 : 0] dout;
60
output full;
61
output empty;
62
output [3 : 0] rd_data_count;
63
output [3 : 0] wr_data_count;
64
 
65
// synthesis translate_off
66
 
67
  FIFO_GENERATOR_V9_3 #(
68
    .C_ADD_NGC_CONSTRAINT(0),
69
    .C_APPLICATION_TYPE_AXIS(0),
70
    .C_APPLICATION_TYPE_RACH(0),
71
    .C_APPLICATION_TYPE_RDCH(0),
72
    .C_APPLICATION_TYPE_WACH(0),
73
    .C_APPLICATION_TYPE_WDCH(0),
74
    .C_APPLICATION_TYPE_WRCH(0),
75
    .C_AXI_ADDR_WIDTH(32),
76
    .C_AXI_ARUSER_WIDTH(1),
77
    .C_AXI_AWUSER_WIDTH(1),
78
    .C_AXI_BUSER_WIDTH(1),
79
    .C_AXI_DATA_WIDTH(64),
80
    .C_AXI_ID_WIDTH(4),
81
    .C_AXI_RUSER_WIDTH(1),
82
    .C_AXI_TYPE(0),
83
    .C_AXI_WUSER_WIDTH(1),
84
    .C_AXIS_TDATA_WIDTH(64),
85
    .C_AXIS_TDEST_WIDTH(4),
86
    .C_AXIS_TID_WIDTH(8),
87
    .C_AXIS_TKEEP_WIDTH(4),
88
    .C_AXIS_TSTRB_WIDTH(4),
89
    .C_AXIS_TUSER_WIDTH(4),
90
    .C_AXIS_TYPE(0),
91
    .C_COMMON_CLOCK(0),
92
    .C_COUNT_TYPE(0),
93
    .C_DATA_COUNT_WIDTH(4),
94
    .C_DEFAULT_VALUE("BlankString"),
95
    .C_DIN_WIDTH(128),
96
    .C_DIN_WIDTH_AXIS(1),
97
    .C_DIN_WIDTH_RACH(32),
98
    .C_DIN_WIDTH_RDCH(64),
99
    .C_DIN_WIDTH_WACH(32),
100
    .C_DIN_WIDTH_WDCH(64),
101
    .C_DIN_WIDTH_WRCH(2),
102
    .C_DOUT_RST_VAL("0"),
103
    .C_DOUT_WIDTH(128),
104
    .C_ENABLE_RLOCS(0),
105
    .C_ENABLE_RST_SYNC(1),
106
    .C_ERROR_INJECTION_TYPE(0),
107
    .C_ERROR_INJECTION_TYPE_AXIS(0),
108
    .C_ERROR_INJECTION_TYPE_RACH(0),
109
    .C_ERROR_INJECTION_TYPE_RDCH(0),
110
    .C_ERROR_INJECTION_TYPE_WACH(0),
111
    .C_ERROR_INJECTION_TYPE_WDCH(0),
112
    .C_ERROR_INJECTION_TYPE_WRCH(0),
113
    .C_FAMILY("virtex7"),
114
    .C_FULL_FLAGS_RST_VAL(0),
115
    .C_HAS_ALMOST_EMPTY(0),
116
    .C_HAS_ALMOST_FULL(0),
117
    .C_HAS_AXI_ARUSER(0),
118
    .C_HAS_AXI_AWUSER(0),
119
    .C_HAS_AXI_BUSER(0),
120
    .C_HAS_AXI_RD_CHANNEL(0),
121
    .C_HAS_AXI_RUSER(0),
122
    .C_HAS_AXI_WR_CHANNEL(0),
123
    .C_HAS_AXI_WUSER(0),
124
    .C_HAS_AXIS_TDATA(0),
125
    .C_HAS_AXIS_TDEST(0),
126
    .C_HAS_AXIS_TID(0),
127
    .C_HAS_AXIS_TKEEP(0),
128
    .C_HAS_AXIS_TLAST(0),
129
    .C_HAS_AXIS_TREADY(1),
130
    .C_HAS_AXIS_TSTRB(0),
131
    .C_HAS_AXIS_TUSER(0),
132
    .C_HAS_BACKUP(0),
133
    .C_HAS_DATA_COUNT(0),
134
    .C_HAS_DATA_COUNTS_AXIS(0),
135
    .C_HAS_DATA_COUNTS_RACH(0),
136
    .C_HAS_DATA_COUNTS_RDCH(0),
137
    .C_HAS_DATA_COUNTS_WACH(0),
138
    .C_HAS_DATA_COUNTS_WDCH(0),
139
    .C_HAS_DATA_COUNTS_WRCH(0),
140
    .C_HAS_INT_CLK(0),
141
    .C_HAS_MASTER_CE(0),
142
    .C_HAS_MEMINIT_FILE(0),
143
    .C_HAS_OVERFLOW(0),
144
    .C_HAS_PROG_FLAGS_AXIS(0),
145
    .C_HAS_PROG_FLAGS_RACH(0),
146
    .C_HAS_PROG_FLAGS_RDCH(0),
147
    .C_HAS_PROG_FLAGS_WACH(0),
148
    .C_HAS_PROG_FLAGS_WDCH(0),
149
    .C_HAS_PROG_FLAGS_WRCH(0),
150
    .C_HAS_RD_DATA_COUNT(1),
151
    .C_HAS_RD_RST(0),
152
    .C_HAS_RST(1),
153
    .C_HAS_SLAVE_CE(0),
154
    .C_HAS_SRST(0),
155
    .C_HAS_UNDERFLOW(0),
156
    .C_HAS_VALID(0),
157
    .C_HAS_WR_ACK(0),
158
    .C_HAS_WR_DATA_COUNT(1),
159
    .C_HAS_WR_RST(0),
160
    .C_IMPLEMENTATION_TYPE(2),
161
    .C_IMPLEMENTATION_TYPE_AXIS(1),
162
    .C_IMPLEMENTATION_TYPE_RACH(1),
163
    .C_IMPLEMENTATION_TYPE_RDCH(1),
164
    .C_IMPLEMENTATION_TYPE_WACH(1),
165
    .C_IMPLEMENTATION_TYPE_WDCH(1),
166
    .C_IMPLEMENTATION_TYPE_WRCH(1),
167
    .C_INIT_WR_PNTR_VAL(0),
168
    .C_INTERFACE_TYPE(0),
169
    .C_MEMORY_TYPE(1),
170
    .C_MIF_FILE_NAME("BlankString"),
171
    .C_MSGON_VAL(1),
172
    .C_OPTIMIZATION_MODE(0),
173
    .C_OVERFLOW_LOW(0),
174
    .C_PRELOAD_LATENCY(2),
175
    .C_PRELOAD_REGS(1),
176
    .C_PRIM_FIFO_TYPE("512x72"),
177
    .C_PROG_EMPTY_THRESH_ASSERT_VAL(2),
178
    .C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS(1022),
179
    .C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH(1022),
180
    .C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH(1022),
181
    .C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH(1022),
182
    .C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH(1022),
183
    .C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH(1022),
184
    .C_PROG_EMPTY_THRESH_NEGATE_VAL(3),
185
    .C_PROG_EMPTY_TYPE(0),
186
    .C_PROG_EMPTY_TYPE_AXIS(0),
187
    .C_PROG_EMPTY_TYPE_RACH(0),
188
    .C_PROG_EMPTY_TYPE_RDCH(0),
189
    .C_PROG_EMPTY_TYPE_WACH(0),
190
    .C_PROG_EMPTY_TYPE_WDCH(0),
191
    .C_PROG_EMPTY_TYPE_WRCH(0),
192
    .C_PROG_FULL_THRESH_ASSERT_VAL(13),
193
    .C_PROG_FULL_THRESH_ASSERT_VAL_AXIS(1023),
194
    .C_PROG_FULL_THRESH_ASSERT_VAL_RACH(1023),
195
    .C_PROG_FULL_THRESH_ASSERT_VAL_RDCH(1023),
196
    .C_PROG_FULL_THRESH_ASSERT_VAL_WACH(1023),
197
    .C_PROG_FULL_THRESH_ASSERT_VAL_WDCH(1023),
198
    .C_PROG_FULL_THRESH_ASSERT_VAL_WRCH(1023),
199
    .C_PROG_FULL_THRESH_NEGATE_VAL(12),
200
    .C_PROG_FULL_TYPE(0),
201
    .C_PROG_FULL_TYPE_AXIS(0),
202
    .C_PROG_FULL_TYPE_RACH(0),
203
    .C_PROG_FULL_TYPE_RDCH(0),
204
    .C_PROG_FULL_TYPE_WACH(0),
205
    .C_PROG_FULL_TYPE_WDCH(0),
206
    .C_PROG_FULL_TYPE_WRCH(0),
207
    .C_RACH_TYPE(0),
208
    .C_RD_DATA_COUNT_WIDTH(4),
209
    .C_RD_DEPTH(16),
210
    .C_RD_FREQ(1),
211
    .C_RD_PNTR_WIDTH(4),
212
    .C_RDCH_TYPE(0),
213
    .C_REG_SLICE_MODE_AXIS(0),
214
    .C_REG_SLICE_MODE_RACH(0),
215
    .C_REG_SLICE_MODE_RDCH(0),
216
    .C_REG_SLICE_MODE_WACH(0),
217
    .C_REG_SLICE_MODE_WDCH(0),
218
    .C_REG_SLICE_MODE_WRCH(0),
219
    .C_SYNCHRONIZER_STAGE(2),
220
    .C_UNDERFLOW_LOW(0),
221
    .C_USE_COMMON_OVERFLOW(0),
222
    .C_USE_COMMON_UNDERFLOW(0),
223
    .C_USE_DEFAULT_SETTINGS(0),
224
    .C_USE_DOUT_RST(1),
225
    .C_USE_ECC(0),
226
    .C_USE_ECC_AXIS(0),
227
    .C_USE_ECC_RACH(0),
228
    .C_USE_ECC_RDCH(0),
229
    .C_USE_ECC_WACH(0),
230
    .C_USE_ECC_WDCH(0),
231
    .C_USE_ECC_WRCH(0),
232
    .C_USE_EMBEDDED_REG(1),
233
    .C_USE_FIFO16_FLAGS(0),
234
    .C_USE_FWFT_DATA_COUNT(0),
235
    .C_VALID_LOW(0),
236
    .C_WACH_TYPE(0),
237
    .C_WDCH_TYPE(0),
238
    .C_WR_ACK_LOW(0),
239
    .C_WR_DATA_COUNT_WIDTH(4),
240
    .C_WR_DEPTH(16),
241
    .C_WR_DEPTH_AXIS(1024),
242
    .C_WR_DEPTH_RACH(16),
243
    .C_WR_DEPTH_RDCH(1024),
244
    .C_WR_DEPTH_WACH(16),
245
    .C_WR_DEPTH_WDCH(1024),
246
    .C_WR_DEPTH_WRCH(16),
247
    .C_WR_FREQ(1),
248
    .C_WR_PNTR_WIDTH(4),
249
    .C_WR_PNTR_WIDTH_AXIS(10),
250
    .C_WR_PNTR_WIDTH_RACH(4),
251
    .C_WR_PNTR_WIDTH_RDCH(10),
252
    .C_WR_PNTR_WIDTH_WACH(4),
253
    .C_WR_PNTR_WIDTH_WDCH(10),
254
    .C_WR_PNTR_WIDTH_WRCH(4),
255
    .C_WR_RESPONSE_LATENCY(1),
256
    .C_WRCH_TYPE(0)
257
  )
258
  inst (
259
    .RST(rst),
260
    .WR_CLK(wr_clk),
261
    .RD_CLK(rd_clk),
262
    .DIN(din),
263
    .WR_EN(wr_en),
264
    .RD_EN(rd_en),
265
    .DOUT(dout),
266
    .FULL(full),
267
    .EMPTY(empty),
268
    .RD_DATA_COUNT(rd_data_count),
269
    .WR_DATA_COUNT(wr_data_count),
270
    .BACKUP(),
271
    .BACKUP_MARKER(),
272
    .CLK(),
273
    .SRST(),
274
    .WR_RST(),
275
    .RD_RST(),
276
    .PROG_EMPTY_THRESH(),
277
    .PROG_EMPTY_THRESH_ASSERT(),
278
    .PROG_EMPTY_THRESH_NEGATE(),
279
    .PROG_FULL_THRESH(),
280
    .PROG_FULL_THRESH_ASSERT(),
281
    .PROG_FULL_THRESH_NEGATE(),
282
    .INT_CLK(),
283
    .INJECTDBITERR(),
284
    .INJECTSBITERR(),
285
    .ALMOST_FULL(),
286
    .WR_ACK(),
287
    .OVERFLOW(),
288
    .ALMOST_EMPTY(),
289
    .VALID(),
290
    .UNDERFLOW(),
291
    .DATA_COUNT(),
292
    .PROG_FULL(),
293
    .PROG_EMPTY(),
294
    .SBITERR(),
295
    .DBITERR(),
296
    .M_ACLK(),
297
    .S_ACLK(),
298
    .S_ARESETN(),
299
    .M_ACLK_EN(),
300
    .S_ACLK_EN(),
301
    .S_AXI_AWID(),
302
    .S_AXI_AWADDR(),
303
    .S_AXI_AWLEN(),
304
    .S_AXI_AWSIZE(),
305
    .S_AXI_AWBURST(),
306
    .S_AXI_AWLOCK(),
307
    .S_AXI_AWCACHE(),
308
    .S_AXI_AWPROT(),
309
    .S_AXI_AWQOS(),
310
    .S_AXI_AWREGION(),
311
    .S_AXI_AWUSER(),
312
    .S_AXI_AWVALID(),
313
    .S_AXI_AWREADY(),
314
    .S_AXI_WID(),
315
    .S_AXI_WDATA(),
316
    .S_AXI_WSTRB(),
317
    .S_AXI_WLAST(),
318
    .S_AXI_WUSER(),
319
    .S_AXI_WVALID(),
320
    .S_AXI_WREADY(),
321
    .S_AXI_BID(),
322
    .S_AXI_BRESP(),
323
    .S_AXI_BUSER(),
324
    .S_AXI_BVALID(),
325
    .S_AXI_BREADY(),
326
    .M_AXI_AWID(),
327
    .M_AXI_AWADDR(),
328
    .M_AXI_AWLEN(),
329
    .M_AXI_AWSIZE(),
330
    .M_AXI_AWBURST(),
331
    .M_AXI_AWLOCK(),
332
    .M_AXI_AWCACHE(),
333
    .M_AXI_AWPROT(),
334
    .M_AXI_AWQOS(),
335
    .M_AXI_AWREGION(),
336
    .M_AXI_AWUSER(),
337
    .M_AXI_AWVALID(),
338
    .M_AXI_AWREADY(),
339
    .M_AXI_WID(),
340
    .M_AXI_WDATA(),
341
    .M_AXI_WSTRB(),
342
    .M_AXI_WLAST(),
343
    .M_AXI_WUSER(),
344
    .M_AXI_WVALID(),
345
    .M_AXI_WREADY(),
346
    .M_AXI_BID(),
347
    .M_AXI_BRESP(),
348
    .M_AXI_BUSER(),
349
    .M_AXI_BVALID(),
350
    .M_AXI_BREADY(),
351
    .S_AXI_ARID(),
352
    .S_AXI_ARADDR(),
353
    .S_AXI_ARLEN(),
354
    .S_AXI_ARSIZE(),
355
    .S_AXI_ARBURST(),
356
    .S_AXI_ARLOCK(),
357
    .S_AXI_ARCACHE(),
358
    .S_AXI_ARPROT(),
359
    .S_AXI_ARQOS(),
360
    .S_AXI_ARREGION(),
361
    .S_AXI_ARUSER(),
362
    .S_AXI_ARVALID(),
363
    .S_AXI_ARREADY(),
364
    .S_AXI_RID(),
365
    .S_AXI_RDATA(),
366
    .S_AXI_RRESP(),
367
    .S_AXI_RLAST(),
368
    .S_AXI_RUSER(),
369
    .S_AXI_RVALID(),
370
    .S_AXI_RREADY(),
371
    .M_AXI_ARID(),
372
    .M_AXI_ARADDR(),
373
    .M_AXI_ARLEN(),
374
    .M_AXI_ARSIZE(),
375
    .M_AXI_ARBURST(),
376
    .M_AXI_ARLOCK(),
377
    .M_AXI_ARCACHE(),
378
    .M_AXI_ARPROT(),
379
    .M_AXI_ARQOS(),
380
    .M_AXI_ARREGION(),
381
    .M_AXI_ARUSER(),
382
    .M_AXI_ARVALID(),
383
    .M_AXI_ARREADY(),
384
    .M_AXI_RID(),
385
    .M_AXI_RDATA(),
386
    .M_AXI_RRESP(),
387
    .M_AXI_RLAST(),
388
    .M_AXI_RUSER(),
389
    .M_AXI_RVALID(),
390
    .M_AXI_RREADY(),
391
    .S_AXIS_TVALID(),
392
    .S_AXIS_TREADY(),
393
    .S_AXIS_TDATA(),
394
    .S_AXIS_TSTRB(),
395
    .S_AXIS_TKEEP(),
396
    .S_AXIS_TLAST(),
397
    .S_AXIS_TID(),
398
    .S_AXIS_TDEST(),
399
    .S_AXIS_TUSER(),
400
    .M_AXIS_TVALID(),
401
    .M_AXIS_TREADY(),
402
    .M_AXIS_TDATA(),
403
    .M_AXIS_TSTRB(),
404
    .M_AXIS_TKEEP(),
405
    .M_AXIS_TLAST(),
406
    .M_AXIS_TID(),
407
    .M_AXIS_TDEST(),
408
    .M_AXIS_TUSER(),
409
    .AXI_AW_INJECTSBITERR(),
410
    .AXI_AW_INJECTDBITERR(),
411
    .AXI_AW_PROG_FULL_THRESH(),
412
    .AXI_AW_PROG_EMPTY_THRESH(),
413
    .AXI_AW_DATA_COUNT(),
414
    .AXI_AW_WR_DATA_COUNT(),
415
    .AXI_AW_RD_DATA_COUNT(),
416
    .AXI_AW_SBITERR(),
417
    .AXI_AW_DBITERR(),
418
    .AXI_AW_OVERFLOW(),
419
    .AXI_AW_UNDERFLOW(),
420
    .AXI_AW_PROG_FULL(),
421
    .AXI_AW_PROG_EMPTY(),
422
    .AXI_W_INJECTSBITERR(),
423
    .AXI_W_INJECTDBITERR(),
424
    .AXI_W_PROG_FULL_THRESH(),
425
    .AXI_W_PROG_EMPTY_THRESH(),
426
    .AXI_W_DATA_COUNT(),
427
    .AXI_W_WR_DATA_COUNT(),
428
    .AXI_W_RD_DATA_COUNT(),
429
    .AXI_W_SBITERR(),
430
    .AXI_W_DBITERR(),
431
    .AXI_W_OVERFLOW(),
432
    .AXI_W_UNDERFLOW(),
433
    .AXI_B_INJECTSBITERR(),
434
    .AXI_W_PROG_FULL(),
435
    .AXI_W_PROG_EMPTY(),
436
    .AXI_B_INJECTDBITERR(),
437
    .AXI_B_PROG_FULL_THRESH(),
438
    .AXI_B_PROG_EMPTY_THRESH(),
439
    .AXI_B_DATA_COUNT(),
440
    .AXI_B_WR_DATA_COUNT(),
441
    .AXI_B_RD_DATA_COUNT(),
442
    .AXI_B_SBITERR(),
443
    .AXI_B_DBITERR(),
444
    .AXI_B_OVERFLOW(),
445
    .AXI_B_UNDERFLOW(),
446
    .AXI_AR_INJECTSBITERR(),
447
    .AXI_B_PROG_FULL(),
448
    .AXI_B_PROG_EMPTY(),
449
    .AXI_AR_INJECTDBITERR(),
450
    .AXI_AR_PROG_FULL_THRESH(),
451
    .AXI_AR_PROG_EMPTY_THRESH(),
452
    .AXI_AR_DATA_COUNT(),
453
    .AXI_AR_WR_DATA_COUNT(),
454
    .AXI_AR_RD_DATA_COUNT(),
455
    .AXI_AR_SBITERR(),
456
    .AXI_AR_DBITERR(),
457
    .AXI_AR_OVERFLOW(),
458
    .AXI_AR_UNDERFLOW(),
459
    .AXI_AR_PROG_FULL(),
460
    .AXI_AR_PROG_EMPTY(),
461
    .AXI_R_INJECTSBITERR(),
462
    .AXI_R_INJECTDBITERR(),
463
    .AXI_R_PROG_FULL_THRESH(),
464
    .AXI_R_PROG_EMPTY_THRESH(),
465
    .AXI_R_DATA_COUNT(),
466
    .AXI_R_WR_DATA_COUNT(),
467
    .AXI_R_RD_DATA_COUNT(),
468
    .AXI_R_SBITERR(),
469
    .AXI_R_DBITERR(),
470
    .AXI_R_OVERFLOW(),
471
    .AXI_R_UNDERFLOW(),
472
    .AXIS_INJECTSBITERR(),
473
    .AXI_R_PROG_FULL(),
474
    .AXI_R_PROG_EMPTY(),
475
    .AXIS_INJECTDBITERR(),
476
    .AXIS_PROG_FULL_THRESH(),
477
    .AXIS_PROG_EMPTY_THRESH(),
478
    .AXIS_DATA_COUNT(),
479
    .AXIS_WR_DATA_COUNT(),
480
    .AXIS_RD_DATA_COUNT(),
481
    .AXIS_SBITERR(),
482
    .AXIS_DBITERR(),
483
    .AXIS_OVERFLOW(),
484
    .AXIS_UNDERFLOW(),
485
    .AXIS_PROG_FULL(),
486
    .AXIS_PROG_EMPTY()
487
  );
488
 
489
// synthesis translate_on
490
 
491
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.