OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [sim/] [tsu/] [sim.do] - Blame information for rev 68

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 ash_riple
quit -sim
2
 
3 5 ash_riple
vlib altera
4 13 edn_walter
vdel -lib altera -all
5
vlib work
6
vdel -lib work -all
7
 
8
vlib altera
9 5 ash_riple
vlog -work altera altera_mf.v
10 68 ash_riple
vlog -work altera ../../par/altera/ip/dcfifo_128b_16.v
11 5 ash_riple
 
12 4 ash_riple
vlib work
13 15 edn_walter
vlog -work work ../../rtl/tsu/tsu.v
14 4 ash_riple
vlog -work work ../../rtl/tsu/ptp_parser.v
15 68 ash_riple
vlog -work work ../../rtl/tsu/ptp_queue.v +initreg+0 +incdir+../../par/altera/ip
16 4 ash_riple
vlog -work work gmii_rx_bfm.v
17
vlog -work work gmii_tx_bfm.v
18
vlog -work work tsu_queue_tb.v
19 5 ash_riple
vsim -novopt -L altera work.tsu_queue_tb
20 4 ash_riple
 
21
log -r */*
22
radix -hexadecimal
23
do wave.do
24
 
25
run -all

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.