OpenCores
URL https://opencores.org/ocsvn/heap_sorter/heap_sorter/trunk

Subversion Repositories heap_sorter

[/] [heap_sorter/] [trunk/] [high_speed_pipelined_4clk_per_word/] [src/] [dpram4_synth.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 wzab
-- Dual port, single clock memory, inferrable in Xilinx and Altera FPGA
2
 
3
library ieee;
4
use ieee.std_logic_1164.all;
5
 
6
entity dp_ram_scl_sorter is
7
 
8
  generic
9
    (
10
      DATA_WIDTH : natural := 8;
11
      ADDR_WIDTH : natural := 6
12
      );
13
 
14
  port
15
    (
16
      clk    : in  std_logic;
17
      addr_a : in  natural range 0 to 2**ADDR_WIDTH - 1;
18
      addr_b : in  natural range 0 to 2**ADDR_WIDTH - 1;
19
      data_a : in  std_logic_vector((DATA_WIDTH-1) downto 0);
20
      data_b : in  std_logic_vector((DATA_WIDTH-1) downto 0);
21
      we_a   : in  std_logic := '1';
22
      we_b   : in  std_logic := '1';
23
      q_a    : out std_logic_vector((DATA_WIDTH -1) downto 0);
24
      q_b    : out std_logic_vector((DATA_WIDTH -1) downto 0)
25
      );
26
 
27
end dp_ram_scl_sorter;
28
 
29
 
30
architecture rtl of dp_ram_scl_sorter is
31
 
32
  -- Create a type for data word
33
  subtype data_word is std_logic_vector((DATA_WIDTH-1) downto 0);
34
  type ram_memory is array((2**ADDR_WIDTH-1) downto 0) of data_word;
35
 
36
  -- Declare the RAM variable.    
37
  shared variable ram : ram_memory;
38
 
39
begin
40
 
41
  process(clk)
42
  begin
43
    if(rising_edge(clk)) then
44
      -- Port B 
45
      if(we_b = '1') then
46
        ram(addr_b) := data_b;
47
      end if;
48
      q_b <= ram(addr_b);
49
    end if;
50
  end process;
51
 
52
  process(clk)
53
  begin
54
    if(rising_edge(clk)) then
55
      -- Port A
56
      if(we_a = '1') then
57
        ram(addr_a) := data_a;
58
      end if;
59
      q_a <= ram(addr_a);
60
    end if;
61
  end process;
62
 
63
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.