OpenCores
URL https://opencores.org/ocsvn/hf-risc/hf-risc/trunk

Subversion Repositories hf-risc

[/] [hf-risc/] [trunk/] [hf-risc/] [ucore/] [peripherals_busmux.vhd] - Blame information for rev 18

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 18 serginhofr
-- HF-RISC v3.5
2 13 serginhofr
-- Sergio Johann Filho, 2011 - 2016
3
--
4
-- *This is a quick and dirty organization of a 3-stage pipelined MIPS microprocessor. All registers / memory
5
--  accesses are synchronized to the rising edge of clock. The same processor could be designed with only 2
6
--  pipeline stages, but this would require memories to be either asynchronous (as presented on comp arq text
7
--  books), double clocked or operating on the opposite edge. Pipeline stages are:
8
--
9
--  FETCH: instruction memory is accessed (address is PC), data becomes available in one cycle. PC is updated.
10
--  DECODE: an instruction is fed into the decoding / control logic and values are registered for the next
11
--  stage. pipeline stalls, as well as bubble insertion is performed in this stage.
12
--  EXECUTE: the register file is accessed and the ALU calculates the result. data access is performed (loads
13
--  and stores) or simply the result (or pc) is written to the register file (normal operations). branch target
14
--  and outcome are calculated.
15
--
16
-- *This design is a compromise between performance, area and complexity.
17
-- *Only the absolutely *needed* MIPS-I opcodes are implemented. This core was implemented with the C programming
18
--  language in mind, so opcodes which cause overflows on integer operations (add, addi, sub) were not included
19
--  for obvious reasons.
20
-- *Memory is accessed in big endian mode.
21
-- *No unaligned loads/stores.
22
-- *No co-processor is implemented and all peripherals are memory mapped.
23 18 serginhofr
-- *Loads and stores take 3 cycles. This version is organized as a Von Neumann machine, so there is only one
24
--  memory interface that is shared betweeen code and data accesses.
25 13 serginhofr
--  No load delay slots are needed in code.
26
-- *Branches have a 1 cycle delay (not taken) or 3 cycle dalay (taken), including two branch delay slots.
27
--  This is a side effect of the pipeline refill and memory access policy. All other instructions are single
28
--  cycle. The first delay slot can be filled with an instruction, reducing the cost to 2 cycles. The
29
--  second delay slot is completely useless and the instruction in this slot is discarded. No branch predictor
30
--  is implemented (default branch target is 'not taken'). Minor modifications in the datapath can turn the second
31
--  branch delay slot usable, but the current toolchain isn't compatible with this behavior, so a bubble is inserted.
32
-- *Interrupts are handled using VECTOR, CAUSE, MASK, STATUS and EPC registers. The VECTOR register is used to hold
33
--  the address of the default (non-vectored) interrupt handler. The CAUSE register is read only and peripheral
34
--  interrupt lines are connected to this register. The MASK register is read/write and holds the interrupt mask
35
--  for the CAUSE register. The interrupt STATUS register is automatically cleared on interrupts, and is set by
36
--  software when returning from interrupts - this works as a global interrupt enable/disable flag. This register is
37
--  read and write capable, so it can also be cleared by software. Setting this register on return from interrupts
38
--  (normally in the branch delay slot) re-enables interrupts. The EPC register holds the program counter when
39
--  the processor is interrupted (we should re-execute the last instruction (EPC-4), as it was not commited yet).
40
--  EPC is a read only register, and is used to return from an interrupt using simple LW / JR --  instructions.
41
--  As an interrupt is accepted, the processor jumps to VECTOR address where the first level of irq handling is
42
--  done. A second level handler (in C) implements the interrupt priority mechanism and calls the appropriate
43
--  ISR for each interrupt.
44
-- *Built in peripherals: running counter (32 bit), two counter comparators (32 and 24 bit), I/O ports and UART. the
45
--  UART baud rate is defined in a 16 bit divisor register. Two counter bits (bits 18 and 16 and their complements) are
46
--  tied to interrupt lines, so are the two counter comparators and the UART.
47
--
48
-- *Compiler:
49
--  Patched GCC version 4.9.3.
50
--  Mandatory gcc options are: -mips1(**) -mpatfree -mfix-r4000 -mno-check-zero-division -msoft-float -fshort-double
51
--               -nostdinc -fno-builtin -fomit-frame-pointer -G 0 -mnohwmult -mnohwdiv -ffixed-lo -ffixed-hi
52
--
53
-- (**) "-mips2 -mno-branch-likely" can be used instead of "-mips1". the result is similar to the code generated
54
--      with "-mips1", but no useless nops are inserted after loads on data hazards (load delay slots).
55
--
56
-- *The following instructions from the MIPS I instruction set were implemented (41 opcodes):
57
--     Arithmetic Instructions: addiu, addu, subu
58
--     Logic Instructions: and, andi, nor, or, ori, xor, xori
59
--     Shift Instructions: sll, sra, srl, sllv, srav, srlv
60
--     Comparison Instructions: slt, sltu, slti, sltiu
61
--     Load/Store Instructions: lui, lb, lbu, lh, lhu, lw, sb, sh, sw
62
--     Branch Instructions: beq, bne, bgez, bgezal, bgtz, blez, bltzal, bltz
63
--     Jump Instructions: j, jal, jr, jalr
64
--
65
--
66
-- Memory map:
67
--
68
-- ROM                                  0x00000000 - 0x1fffffff (512MB)
69
-- System                               0x20000000 - 0x3fffffff (512MB)
70
-- SRAM                                 0x40000000 - 0x5fffffff (512MB)
71
-- External RAM / device                0x60000000 - 0x9fffffff (1GB)
72
-- External RAM / device                0xa0000000 - 0xdfffffff (1GB)           (uncached)
73
-- External Peripheral                  0xe0000000 - 0xefffffff (256MB)         (uncached)
74
-- Peripheral (core)                    0xf0000000 - 0xf7ffffff (128MB)         (uncached)
75
-- Peripheral (extended)                0xf8000000 - 0xffffffff (128MB)         (uncached)
76
--
77
--   IRQ_VECTOR                 0xf0000000
78
--   IRQ_CAUSE                  0xf0000010
79
--   IRQ_MASK                   0xf0000020
80
--   IRQ_STATUS                 0xf0000030
81
--   IRQ_EPC                    0xf0000040
82
--   COUNTER                    0xf0000050
83
--   COMPARE                    0xf0000060
84
--   COMPARE2                   0xf0000070
85
--   EXTIO_IN                   0xf0000080
86
--   EXTIO_OUT                  0xf0000090
87
--   DEBUG                      0xf00000d0
88
--   UART_WRITE / UART_READ     0xf00000e0
89
--   UART_DIVISOR               0xf00000f0
90
--
91
-- Interrupt masks:
92
--
93
-- IRQ_COUNTER                  0x0001          (bit 18 of the counter is set)
94
-- IRQ_COUNTER_NOT              0x0002          (bit 18 of the counter is clear)
95
-- IRQ_COUNTER2                 0x0004          (bit 16 of the counter is set)
96
-- IRQ_COUNTER2_NOT             0x0008          (bit 16 of the counter is clear)
97
-- IRQ_COMPARE                  0x0010          (counter is equal to compare, clears irq when updated)
98
-- IRQ_COMPARE2                 0x0020          (counter bits 23 to 0 are equal to compare2, clears irq when updated)
99
-- IRQ_UART_READ_AVAILABLE      0x0040          (there is data available for reading on the UART)
100
-- IRQ_UART_WRITE_AVAILABLE     0x0080          (UART is not busy)
101
-- EXT_IRQ0                     0x0100          (external interrupts on extio_in, 'high' level triggered)
102
-- EXT_IRQ1                     0x0200
103
-- EXT_IRQ2                     0x0400
104
-- EXT_IRQ3                     0x0800
105
-- EXT_IRQ4                     0x1000
106
-- EXT_IRQ5                     0x2000
107
-- EXT_IRQ6                     0x4000
108
-- EXT_IRQ7                     0x8000
109
 
110
library ieee;
111
use ieee.std_logic_1164.all;
112
use ieee.std_logic_unsigned.all;
113
use ieee.std_logic_arith.all;
114
 
115
entity busmux is
116
        generic(
117
                log_file: string := "UNUSED";                   -- options are "out.txt" and "UNUSED"
118
                uart_support: string := "no"                    -- options are "yes" and "no".
119
        );
120
        port (  clock:          in std_logic;
121
                reset:          in std_logic;
122
 
123
                stall:          in std_logic;
124
 
125
                stall_cpu:      out std_logic;
126
                irq_vector_cpu: out std_logic_vector(31 downto 0);
127
                irq_cpu:        out std_logic;
128
                irq_ack_cpu:    in std_logic;
129 18 serginhofr
                address_cpu:    in std_logic_vector(31 downto 0);
130 13 serginhofr
                data_in_cpu:    out std_logic_vector(31 downto 0);
131
                data_out_cpu:   in std_logic_vector(31 downto 0);
132
                data_w_cpu:     in std_logic_vector(3 downto 0);
133
                data_access_cpu:        in std_logic;
134
 
135
                addr_mem:       out std_logic_vector(31 downto 0);
136
                data_read_mem:  in std_logic_vector(31 downto 0);
137
                data_write_mem: out std_logic_vector(31 downto 0);
138
                data_we_mem:    out std_logic_vector(3 downto 0);
139
 
140
                extio_in:       in std_logic_vector(7 downto 0);
141
                extio_out:      out std_logic_vector(7 downto 0);
142
                uart_read:      in std_logic;
143
                uart_write:     out std_logic
144
        );
145
end busmux;
146
 
147
architecture arch of busmux is
148
        signal write_enable: std_logic;
149
        signal irq_cause, irq_mask_reg, uart_divisor: std_logic_vector(15 downto 0);
150
        signal irq_status_reg, extio_out_reg: std_logic_vector(7 downto 0);
151 18 serginhofr
        signal periph_data, irq_vector_reg, irq_epc_reg, compare_reg, counter_reg: std_logic_vector(31 downto 0);
152 13 serginhofr
        signal compare2_reg: std_logic_vector(23 downto 0);
153
        signal interrupt, irq, irq_counter, irq_counter_not, irq_counter2, irq_counter2_not, irq_compare, irq_compare2, compare_trig, compare2_trig: std_logic;
154
        signal data_read_uart, data_write_uart: std_logic_vector(7 downto 0);
155
        signal enable_uart, enable_uart_read, enable_uart_write, uart_write_busy, uart_data_avail: std_logic;
156
 
157 17 serginhofr
        type pulse_state_type is (irq_idle, irq_int, irq_req, irq_ackn, irq_done);
158 13 serginhofr
        signal pulse_state: pulse_state_type;
159
        signal pulse_next_state: pulse_state_type;
160
 
161 18 serginhofr
        signal periph_access, periph_access_dly, periph_access_we: std_logic;
162 13 serginhofr
        signal data_we_mem_s: std_logic_vector(3 downto 0);
163
 
164
begin
165 18 serginhofr
        -- peripheral register logic, read from peripheral registers
166
        process(clock, reset, periph_access, address_cpu, irq_vector_reg, irq_cause, irq_mask_reg, irq_status_reg, irq_epc_reg, compare_reg, compare2_reg, counter_reg, data_read_uart, uart_divisor, data_read_mem, extio_in, extio_out_reg)
167 13 serginhofr
        begin
168 18 serginhofr
                if reset = '1' then
169
                        periph_data <= (others => '0');
170
                elsif clock'event and clock = '1' then
171
                        if periph_access = '1' then
172
                                case address_cpu(7 downto 4) is
173 13 serginhofr
                                        when "0000" =>          -- IRQ_VECTOR           (RW)
174 18 serginhofr
                                                periph_data <= irq_vector_reg;
175 13 serginhofr
                                        when "0001" =>          -- IRQ_CAUSE            (RO)
176 18 serginhofr
                                                periph_data <= x"0000" & irq_cause;
177 13 serginhofr
                                        when "0010" =>          -- IRQ_MASK             (RW)
178 18 serginhofr
                                                periph_data <= x"0000" & irq_mask_reg;
179 13 serginhofr
                                        when "0011" =>          -- IRQ_STATUS           (RW)
180 18 serginhofr
                                                periph_data <= x"000000" & irq_status_reg;
181 13 serginhofr
                                        when "0100" =>          -- IRQ_EPC              (RO)
182 18 serginhofr
                                                periph_data <= irq_epc_reg;
183 13 serginhofr
                                        when "0101" =>          -- COUNTER              (RO)
184 18 serginhofr
                                                periph_data <= counter_reg;
185 13 serginhofr
                                        when "0110" =>          -- IRQ_COMPARE          (RW)
186 18 serginhofr
                                                periph_data <= compare_reg;
187 13 serginhofr
                                        when "0111" =>          -- IRQ_COMPARE2         (RW)
188 18 serginhofr
                                                periph_data <= x"00" & compare2_reg;
189 13 serginhofr
                                        when "1000" =>          -- EXTIO_IN             (RO)
190 18 serginhofr
                                                periph_data <= x"000000" & extio_in;
191 13 serginhofr
                                        when "1001" =>          -- EXTIO_OUT            (RW)
192 18 serginhofr
                                                periph_data <= x"000000" & extio_out_reg;
193 13 serginhofr
                                        when "1110" =>          -- UART                 (RW)
194 18 serginhofr
                                                periph_data <= x"000000" & data_read_uart;
195 13 serginhofr
                                        when "1111" =>          -- UART_DIVISOR         (RW)
196 18 serginhofr
                                                periph_data <= x"0000" & uart_divisor;
197 13 serginhofr
                                        when others =>
198 18 serginhofr
                                                periph_data <= data_read_mem;
199 13 serginhofr
                                end case;
200 18 serginhofr
                        end if;
201
                end if;
202 13 serginhofr
        end process;
203
 
204 18 serginhofr
        data_in_cpu <= data_read_mem when periph_access_dly = '0' else periph_data;
205 13 serginhofr
 
206
        -- peripheral register logic, write to peripheral registers
207 18 serginhofr
        process(clock, reset, counter_reg, address_cpu, data_out_cpu, periph_access, periph_access_we, irq_ack_cpu)
208 13 serginhofr
        begin
209
                if reset = '1' then
210
                        irq_vector_reg <= x"00000000";
211
                        irq_mask_reg <= x"0000";
212
                        irq_status_reg <= x"00";
213
                        counter_reg <= x"00000000";
214
                        compare_reg <= x"00000000";
215
                        compare_trig <= '0';
216
                        compare2_reg <= x"000000";
217
                        compare2_trig <= '0';
218
                        extio_out_reg <= x"00";
219
                        uart_divisor <= x"0000";
220
                elsif clock'event and clock = '1' then
221
                        counter_reg <= counter_reg + 1;
222
                        if compare_reg = counter_reg then
223
                                compare_trig <= '1';
224
                        end if;
225
                        if compare2_reg = counter_reg(23 downto 0) then
226
                                compare2_trig <= '1';
227
                        end if;
228 17 serginhofr
                        if periph_access = '1' and periph_access_we = '1' then
229 18 serginhofr
                                case address_cpu(7 downto 4) is
230 17 serginhofr
                                        when "0000" =>  -- IRQ_VECTOR
231
                                                irq_vector_reg <= data_out_cpu;
232
                                        when "0010" =>  -- IRQ_MASK
233
                                                irq_mask_reg <= data_out_cpu(15 downto 0);
234
                                        when "0011" =>  -- IRQ_STATUS
235
                                                irq_status_reg <= data_out_cpu(7 downto 0);
236
                                        when "0110" =>  -- IRQ_COMPARE
237
                                                compare_reg <= data_out_cpu;
238
                                                compare_trig <= '0';
239
                                        when "0111" =>  -- IRQ_COMPARE2
240
                                                compare2_reg <= data_out_cpu(23 downto 0);
241
                                                compare2_trig <= '0';
242
                                        when "1001" =>  -- EXTIO_OUT
243
                                                extio_out_reg <= data_out_cpu(7 downto 0);
244
                                        when "1111" =>  -- UART_DIVISOR
245
                                                uart_divisor <= data_out_cpu(15 downto 0);
246
                                        when others =>
247
                                end case;
248
                        end if;
249
                        if irq_ack_cpu = '1' then
250 13 serginhofr
                                irq_status_reg(0) <= '0';         -- IRQ_STATUS (clear master int bit on interrupt)
251
                        end if;
252
                end if;
253
        end process;
254
 
255
        -- EPC register register load on interrupts
256 18 serginhofr
        process(clock, reset, address_cpu, irq)
257 13 serginhofr
        begin
258
                if reset = '1' then
259
                        irq_epc_reg <= x"00000000";
260
                elsif clock'event and clock = '1' then
261
                        if irq = '1' and irq_ack_cpu = '0' then
262 18 serginhofr
                                irq_epc_reg <= address_cpu;
263 13 serginhofr
                        end if;
264
                end if;
265
        end process;
266
 
267
        -- interrupt state machine
268
        process(clock, reset, pulse_state, interrupt, irq_status_reg, stall)
269
        begin
270
                if reset = '1' then
271
                        pulse_state <= irq_idle;
272
                        pulse_next_state <= irq_idle;
273
                        irq <= '0';
274
                elsif clock'event and clock = '1' then
275
                        if stall = '0' then
276
                                pulse_state <= pulse_next_state;
277
                                case pulse_state is
278
                                        when irq_idle =>
279 17 serginhofr
                                                if interrupt = '1' and irq_status_reg(0) = '1' then
280
                                                        pulse_next_state <= irq_int;
281 13 serginhofr
                                                end if;
282
                                        when irq_int =>
283
                                                irq <= '1';
284
                                                pulse_next_state <= irq_req;
285
                                        when irq_req =>
286
                                                if irq_ack_cpu = '1' then
287
                                                        irq <= '0';
288
                                                        pulse_next_state <= irq_ackn;
289
                                                end if;
290
                                        when irq_ackn =>
291
                                                pulse_next_state <= irq_done;
292
                                        when irq_done =>
293
                                                if irq_status_reg(0) = '1' then
294
                                                        pulse_next_state <= irq_idle;
295
                                                end if;
296
                                        when others =>
297
                                                pulse_next_state <= irq_idle;
298
                                end case;
299
                        end if;
300
                end if;
301
        end process;
302
 
303
        -- data / peripheral access delay
304 18 serginhofr
        process(clock, reset, irq_ack_cpu, periph_access, stall)
305 13 serginhofr
        begin
306
                if reset = '1' then
307 18 serginhofr
                        periph_access_dly <= '0';
308 13 serginhofr
                elsif clock'event and clock = '1' then
309
                        if stall = '0' then
310 18 serginhofr
                                periph_access_dly <= periph_access;
311 13 serginhofr
                        end if;
312
                end if;
313
        end process;
314
 
315 18 serginhofr
        periph_access <= '1' when address_cpu(31 downto 27) = "11110" and data_access_cpu = '1' else '0';
316 13 serginhofr
        periph_access_we <= '1' when periph_access <= '1' and data_w_cpu /= "0000" else '0';
317
 
318
        -- memory address / write enable muxes and cpu stall logic
319 18 serginhofr
        addr_mem <= address_cpu;
320 13 serginhofr
        data_write_mem <= data_out_cpu;
321 18 serginhofr
        data_we_mem_s <= data_w_cpu when data_access_cpu = '1' and periph_access = '0' else "0000";
322 13 serginhofr
        data_we_mem <= data_we_mem_s;
323
 
324
        stall_cpu <= stall;
325
 
326
        -- interrupts and peripherals
327
        interrupt <= '0' when (irq_cause and irq_mask_reg) = x"0000" else '1';
328
        irq_cause <= extio_in & not uart_write_busy & uart_data_avail & irq_compare2 & irq_compare & irq_counter2_not & irq_counter2 & irq_counter_not & irq_counter;
329
 
330
        irq_cpu <= irq;
331
        irq_vector_cpu <= irq_vector_reg;
332
        irq_counter <= counter_reg(18);
333
        irq_counter_not <= not counter_reg(18);
334
        irq_counter2 <= counter_reg(16);
335
        irq_counter2_not <= not counter_reg(16);
336
        irq_compare <= '1' when compare_trig = '1' else '0';
337
        irq_compare2 <= '1' when compare2_trig = '1' else '0';
338
        extio_out <= extio_out_reg;
339
 
340
        write_enable <= '1' when data_we_mem_s /= "0000" else '0';
341
        data_write_uart <= data_out_cpu(7 downto 0);
342
 
343
        uart:
344
        if uart_support = "yes" generate
345 18 serginhofr
                enable_uart <= '1' when periph_access = '1' and address_cpu(7 downto 4) = "1110" else '0';
346 13 serginhofr
                enable_uart_write <= enable_uart and periph_access_we;
347
                enable_uart_read <= enable_uart and not periph_access_we;
348
 
349
                -- a simple UART
350
                serial: entity work.uart
351
                generic map (log_file => log_file)
352
                port map(
353
                        clk             => clock,
354
                        reset           => reset,
355
                        divisor         => uart_divisor(11 downto 0),
356
                        enable_read     => enable_uart_read,
357
                        enable_write    => enable_uart_write,
358
                        data_in         => data_write_uart,
359
                        data_out        => data_read_uart,
360
                        uart_read       => uart_read,
361
                        uart_write      => uart_write,
362
                        busy_write      => uart_write_busy,
363
                        data_avail      => uart_data_avail
364
                );
365
        end generate;
366
 
367
        no_uart:
368
        if uart_support = "no" generate
369
                enable_uart <= '0';
370
                data_read_uart <= (others => '0');
371
                uart_write_busy <= '0';
372
                uart_data_avail <= '0';
373
        end generate;
374
 
375
end arch;
376
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.