OpenCores
URL https://opencores.org/ocsvn/hf-risc/hf-risc/trunk

Subversion Repositories hf-risc

[/] [hf-risc/] [trunk/] [hf-riscv/] [core_rv32i/] [peripherals_busmux.vhd] - Blame information for rev 18

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 18 serginhofr
-- HF-RISCV v1.4
2 13 serginhofr
-- Sergio Johann Filho, 2015 - 2016
3
--
4
-- *This is a quick and dirty organization of a 3-stage pipelined RISC-V microprocessor. All registers / memory
5
--  accesses are synchronized to the rising edge of clock. The same processor could be designed with only 2
6
--  pipeline stages, but this would require memories to be either asynchronous (as presented on comp arq text
7
--  books), double clocked or operating on the opposite edge. Pipeline stages are:
8
--
9
--  FETCH: instruction memory is accessed (address is PC), data becomes available in one cycle. PC is updated.
10
--  DECODE: an instruction is fed into the decoding / control logic and values are registered for the next
11
--  stage. pipeline stalls, as well as bubble insertion is performed in this stage.
12
--  EXECUTE: the register file is accessed and the ALU calculates the result. data access is performed (loads
13
--  and stores) or simply the result (or pc) is written to the register file (normal operations). branch target
14
--  and outcome are calculated.
15
--
16
-- *This design is a compromise between performance, area and complexity.
17
-- *Only the RV32I base instruction set is implemented. FENCE and SYSTEM instructions are missing. SYSTEM
18
--  instructions always trap the processor and can be handled in software.
19
-- *Memory is accessed in little endian mode.
20
-- *No co-processor is implemented and all peripherals are memory mapped.
21 18 serginhofr
-- *Loads and stores take 3 cycles. This version is organized as a Von Neumann machine, so there is only one
22
--  memory interface that is shared betweeen code and data accesses.
23 13 serginhofr
-- *Branches have a 1 cycle delay (not taken) or 3 cycle dalay (taken), including two branch delay slots.
24
--  This is a side effect of the pipeline refill and memory access policy. All other instructions are single
25
--  cycle. No branch predictor is implemented (default branch target is 'not taken').
26
-- *Interrupts are handled using VECTOR, CAUSE, MASK, STATUS and EPC registers. The VECTOR register is used to hold
27
--  the address of the default (non-vectored) interrupt handler. The CAUSE register is read only and peripheral
28
--  interrupt lines are connected to this register. The MASK register is read/write and holds the interrupt mask
29
--  for the CAUSE register. The interrupt STATUS register is automatically cleared on interrupts, and is set by
30
--  software when returning from interrupts - this works as a global interrupt enable/disable flag. This register is
31
--  read and write capable, so it can also be cleared by software. Setting this register just before returning
32
--  from interrupts (enable is delayed in a few cycles) re-enables interrupts. The EPC register holds the program
33
--  counter when the processor is interrupted (we should re-execute the last instruction (EPC-4), as it was not
34
--  commited yet). EPC is a read only register, and is used to return from an interrupt using simple LW / JR
35
--  instructions. As an interrupt is accepted, the processor jumps to VECTOR address where the first level of irq
36
--  handling is done. A second level handler (in C) implements the interrupt priority mechanism and calls the
37
--  appropriate ISR for each interrupt.
38
-- *Built in peripherals: running counter (32 bit), two counter comparators (32 and 24 bit), I/O ports and UART. the
39
--  UART baud rate is defined in a 16 bit divisor register. Two counter bits (bits 18 and 16 and their complements) are
40
--  tied to interrupt lines, so are the two counter comparators and the UART.
41
--
42
-- Memory map:
43
--
44
-- ROM                                  0x00000000 - 0x1fffffff (512MB)
45
-- System                               0x20000000 - 0x3fffffff (512MB)
46
-- SRAM                                 0x40000000 - 0x5fffffff (512MB)
47
-- External RAM / device                0x60000000 - 0x9fffffff (1GB)
48
-- External RAM / device                0xa0000000 - 0xdfffffff (1GB)           (uncached)
49
-- External Peripheral                  0xe0000000 - 0xefffffff (256MB)         (uncached)
50
-- Peripheral (core)                    0xf0000000 - 0xf7ffffff (128MB)         (uncached)
51
-- Peripheral (extended)                0xf8000000 - 0xffffffff (128MB)         (uncached)
52
--
53
--   IRQ_VECTOR                 0xf0000000
54
--   IRQ_CAUSE                  0xf0000010
55
--   IRQ_MASK                   0xf0000020
56
--   IRQ_STATUS                 0xf0000030
57
--   IRQ_EPC                    0xf0000040
58
--   COUNTER                    0xf0000050
59
--   COMPARE                    0xf0000060
60
--   COMPARE2                   0xf0000070
61
--   EXTIO_IN                   0xf0000080
62
--   EXTIO_OUT                  0xf0000090
63
--   DEBUG                      0xf00000d0
64
--   UART_WRITE / UART_READ     0xf00000e0
65
--   UART_DIVISOR               0xf00000f0
66
--
67
-- Interrupt masks:
68
--
69
-- IRQ_COUNTER                  0x0001          (bit 18 of the counter is set)
70
-- IRQ_COUNTER_NOT              0x0002          (bit 18 of the counter is clear)
71
-- IRQ_COUNTER2                 0x0004          (bit 16 of the counter is set)
72
-- IRQ_COUNTER2_NOT             0x0008          (bit 16 of the counter is clear)
73
-- IRQ_COMPARE                  0x0010          (counter is equal to compare, clears irq when updated)
74
-- IRQ_COMPARE2                 0x0020          (counter bits 23 to 0 are equal to compare2, clears irq when updated)
75
-- IRQ_UART_READ_AVAILABLE      0x0040          (there is data available for reading on the UART)
76
-- IRQ_UART_WRITE_AVAILABLE     0x0080          (UART is not busy)
77
-- EXT_IRQ0                     0x0100          (external interrupts on extio_in, 'high' level triggered)
78
-- EXT_IRQ1                     0x0200
79
-- EXT_IRQ2                     0x0400
80
-- EXT_IRQ3                     0x0800
81
-- EXT_IRQ4                     0x1000
82
-- EXT_IRQ5                     0x2000
83
-- EXT_IRQ6                     0x4000
84
-- EXT_IRQ7                     0x8000
85
 
86
library ieee;
87
use ieee.std_logic_1164.all;
88
use ieee.std_logic_unsigned.all;
89
use ieee.std_logic_arith.all;
90
 
91
entity busmux is
92
        generic(
93
                log_file: string := "UNUSED";                   -- options are "out.txt" and "UNUSED"
94
                uart_support: string := "no"                    -- options are "yes" and "no".
95
        );
96
        port (  clock:          in std_logic;
97
                reset:          in std_logic;
98
 
99
                stall:          in std_logic;
100
 
101
                stall_cpu:      out std_logic;
102
                irq_vector_cpu: out std_logic_vector(31 downto 0);
103
                irq_cpu:        out std_logic;
104
                irq_ack_cpu:    in std_logic;
105
                exception_cpu:  in std_logic;
106 18 serginhofr
                address_cpu:    in std_logic_vector(31 downto 0);
107 13 serginhofr
                data_in_cpu:    out std_logic_vector(31 downto 0);
108
                data_out_cpu:   in std_logic_vector(31 downto 0);
109
                data_w_cpu:     in std_logic_vector(3 downto 0);
110
                data_access_cpu:        in std_logic;
111
 
112
                addr_mem:       out std_logic_vector(31 downto 0);
113
                data_read_mem:  in std_logic_vector(31 downto 0);
114
                data_write_mem: out std_logic_vector(31 downto 0);
115
                data_we_mem:    out std_logic_vector(3 downto 0);
116
 
117
                extio_in:       in std_logic_vector(7 downto 0);
118
                extio_out:      out std_logic_vector(7 downto 0);
119
                uart_read:      in std_logic;
120
                uart_write:     out std_logic
121
        );
122
end busmux;
123
 
124
architecture arch of busmux is
125
        signal write_enable: std_logic;
126
        signal irq_cause, irq_mask_reg, uart_divisor: std_logic_vector(15 downto 0);
127
        signal irq_status_reg, extio_out_reg: std_logic_vector(7 downto 0);
128 18 serginhofr
        signal periph_data, irq_vector_reg, irq_epc_reg, compare_reg, counter_reg: std_logic_vector(31 downto 0);
129 13 serginhofr
        signal compare2_reg: std_logic_vector(23 downto 0);
130
        signal interrupt, irq, irq_counter, irq_counter_not, irq_counter2, irq_counter2_not, irq_compare, irq_compare2, compare_trig, compare2_trig: std_logic;
131
        signal data_read_uart, data_write_uart: std_logic_vector(7 downto 0);
132
        signal enable_uart, enable_uart_read, enable_uart_write, uart_write_busy, uart_data_avail: std_logic;
133
 
134 17 serginhofr
        type pulse_state_type is (irq_idle, irq_int, irq_req, irq_ackn, irq_done);
135 13 serginhofr
        signal pulse_state: pulse_state_type;
136
        signal pulse_next_state: pulse_state_type;
137
 
138 18 serginhofr
        signal periph_access, periph_access_dly, periph_access_we: std_logic;
139 13 serginhofr
        signal data_we_mem_s: std_logic_vector(3 downto 0);
140
 
141
begin
142
        -- address decoder, read from peripheral registers
143 18 serginhofr
        process(clock, reset, periph_access, address_cpu, irq_vector_reg, irq_cause, irq_mask_reg, irq_status_reg, irq_epc_reg, compare_reg, compare2_reg, counter_reg, data_read_uart, uart_divisor, data_read_mem, extio_in, extio_out_reg)
144 13 serginhofr
        begin
145 18 serginhofr
                if reset = '1' then
146
                        periph_data <= (others => '0');
147
                elsif clock'event and clock = '1' then
148
                        if periph_access = '1' then
149
                                case address_cpu(7 downto 4) is
150 13 serginhofr
                                        when "0000" =>          -- IRQ_VECTOR           (RW)
151 18 serginhofr
                                                periph_data <= irq_vector_reg;
152 13 serginhofr
                                        when "0001" =>          -- IRQ_CAUSE            (RO)
153 18 serginhofr
                                                periph_data <= irq_cause(7 downto 0) & irq_cause(15 downto 8) & x"0000";
154 13 serginhofr
                                        when "0010" =>          -- IRQ_MASK             (RW)
155 18 serginhofr
                                                periph_data <= irq_mask_reg(7 downto 0) & irq_mask_reg(15 downto 8) & x"0000";
156 13 serginhofr
                                        when "0011" =>          -- IRQ_STATUS           (RW)
157 18 serginhofr
                                                periph_data <= irq_status_reg & x"000000";
158 13 serginhofr
                                        when "0100" =>          -- IRQ_EPC              (RO)
159 18 serginhofr
                                                periph_data <= irq_epc_reg(7 downto 0) & irq_epc_reg(15 downto 8) & irq_epc_reg(23 downto 16) & irq_epc_reg(31 downto 24);
160 13 serginhofr
                                        when "0101" =>          -- COUNTER              (RO)
161 18 serginhofr
                                                periph_data <= counter_reg(7 downto 0) & counter_reg(15 downto 8) & counter_reg(23 downto 16) & counter_reg(31 downto 24);
162 13 serginhofr
                                        when "0110" =>          -- IRQ_COMPARE          (RW)
163 18 serginhofr
                                                periph_data <= compare_reg(7 downto 0) & compare_reg(15 downto 8) & compare_reg(23 downto 16) & compare_reg(31 downto 24);
164 13 serginhofr
                                        when "0111" =>          -- IRQ_COMPARE2         (RW)
165 18 serginhofr
                                                periph_data <= compare_reg(15 downto 8) & compare_reg(23 downto 16) & compare_reg(31 downto 24) & x"00";
166 13 serginhofr
                                        when "1000" =>          -- EXTIO_IN             (RO)
167 18 serginhofr
                                                periph_data <= extio_in & x"000000";
168 13 serginhofr
                                        when "1001" =>          -- EXTIO_OUT            (RW)
169 18 serginhofr
                                                periph_data <= extio_out_reg & x"000000";
170 13 serginhofr
                                        when "1110" =>          -- UART                 (RW)
171 18 serginhofr
                                                periph_data <= data_read_uart & x"000000";
172 13 serginhofr
                                        when "1111" =>          -- UART_DIVISOR         (RW)
173 18 serginhofr
                                                periph_data <= uart_divisor(7 downto 0) & uart_divisor(15 downto 8) & x"0000";
174 13 serginhofr
                                        when others =>
175 18 serginhofr
                                                periph_data <= data_read_mem;
176 13 serginhofr
                                end case;
177 18 serginhofr
                        end if;
178
                end if;
179 13 serginhofr
        end process;
180
 
181 18 serginhofr
        data_in_cpu <= data_read_mem when periph_access_dly = '0' else periph_data;
182 13 serginhofr
 
183
        -- peripheral register logic, write to peripheral registers
184 18 serginhofr
        process(clock, reset, counter_reg, address_cpu, data_out_cpu, periph_access, periph_access_we, irq_ack_cpu)
185 13 serginhofr
        begin
186
                if reset = '1' then
187
                        irq_vector_reg <= x"00000000";
188
                        irq_mask_reg <= x"0000";
189
                        irq_status_reg <= x"00";
190
                        counter_reg <= x"00000000";
191
                        compare_reg <= x"00000000";
192
                        compare_trig <= '0';
193
                        compare2_reg <= x"000000";
194
                        compare2_trig <= '0';
195
                        extio_out_reg <= x"00";
196
                        uart_divisor <= x"0000";
197
                elsif clock'event and clock = '1' then
198
                        counter_reg <= counter_reg + 1;
199
                        if compare_reg = counter_reg then
200
                                compare_trig <= '1';
201
                        end if;
202
                        if compare2_reg = counter_reg(23 downto 0) then
203
                                compare2_trig <= '1';
204
                        end if;
205 17 serginhofr
                        if periph_access = '1' and periph_access_we = '1' then
206 18 serginhofr
                                case address_cpu(7 downto 4) is
207 17 serginhofr
                                        when "0000" =>  -- IRQ_VECTOR
208
                                                irq_vector_reg <= data_out_cpu(7 downto 0) & data_out_cpu(15 downto 8) & data_out_cpu(23 downto 16) & data_out_cpu(31 downto 24);
209
                                        when "0010" =>  -- IRQ_MASK
210
                                                irq_mask_reg <= data_out_cpu(23 downto 16) & data_out_cpu(31 downto 24);
211
                                        when "0011" =>  -- IRQ_STATUS
212
                                                irq_status_reg <= data_out_cpu(31 downto 24);
213
                                        when "0110" =>  -- IRQ_COMPARE
214
                                                compare_reg <= data_out_cpu(7 downto 0) & data_out_cpu(15 downto 8) & data_out_cpu(23 downto 16) & data_out_cpu(31 downto 24);
215
                                                compare_trig <= '0';
216
                                        when "0111" =>  -- IRQ_COMPARE2
217
                                                compare2_reg <= data_out_cpu(15 downto 8) & data_out_cpu(23 downto 16) & data_out_cpu(31 downto 24);
218
                                                compare2_trig <= '0';
219
                                        when "1001" =>  -- EXTIO_OUT
220
                                                extio_out_reg <= data_out_cpu(31 downto 24);
221
                                        when "1111" =>  -- UART_DIVISOR
222
                                                uart_divisor <= data_out_cpu(23 downto 16) & data_out_cpu(31 downto 24);
223
                                        when others =>
224
                                end case;
225
                        end if;
226
                        if irq_ack_cpu = '1' or exception_cpu = '1' then
227 13 serginhofr
                                irq_status_reg(0) <= '0';         -- IRQ_STATUS (clear master int bit on interrupt)
228
                        end if;
229
                end if;
230
        end process;
231
 
232
        -- EPC register register load on interrupts
233 18 serginhofr
        process(clock, reset, address_cpu, irq, irq_ack_cpu)
234 13 serginhofr
        begin
235
                if reset = '1' then
236
                        irq_epc_reg <= x"00000000";
237
                elsif clock'event and clock = '1' then
238
                        if ((irq = '1' and irq_ack_cpu = '0') or exception_cpu = '1') then
239 18 serginhofr
                                irq_epc_reg <= address_cpu;
240 13 serginhofr
                        end if;
241
                end if;
242
        end process;
243
 
244
        -- interrupt state machine
245
        process(clock, reset, pulse_state, interrupt, irq_status_reg, stall)
246
        begin
247
                if reset = '1' then
248
                        pulse_state <= irq_idle;
249
                        pulse_next_state <= irq_idle;
250
                        irq <= '0';
251
                elsif clock'event and clock = '1' then
252
                        if stall = '0' then
253
                                pulse_state <= pulse_next_state;
254
                                case pulse_state is
255
                                        when irq_idle =>
256 17 serginhofr
                                                if interrupt = '1' and irq_status_reg(0) = '1' then
257
                                                        pulse_next_state <= irq_int;
258 13 serginhofr
                                                end if;
259
                                        when irq_int =>
260
                                                irq <= '1';
261
                                                pulse_next_state <= irq_req;
262
                                        when irq_req =>
263
                                                if irq_ack_cpu = '1' then
264
                                                        irq <= '0';
265
                                                        pulse_next_state <= irq_ackn;
266
                                                end if;
267
                                        when irq_ackn =>
268
                                                pulse_next_state <= irq_done;
269
                                        when irq_done =>
270
                                                if irq_status_reg(0) = '1' then
271
                                                        pulse_next_state <= irq_idle;
272
                                                end if;
273
                                        when others =>
274
                                                pulse_next_state <= irq_idle;
275
                                end case;
276
                        end if;
277
                end if;
278
        end process;
279
 
280
        -- data / peripheral access delay
281 18 serginhofr
        process(clock, reset, irq_ack_cpu, periph_access, stall)
282 13 serginhofr
        begin
283
                if reset = '1' then
284 18 serginhofr
                        periph_access_dly <= '0';
285 13 serginhofr
                elsif clock'event and clock = '1' then
286
                        if stall = '0' then
287 18 serginhofr
                                periph_access_dly <= periph_access;
288 13 serginhofr
                        end if;
289
                end if;
290
        end process;
291
 
292 18 serginhofr
        periph_access <= '1' when address_cpu(31 downto 27) = "11110" and data_access_cpu = '1' else '0';
293 13 serginhofr
        periph_access_we <= '1' when periph_access <= '1' and data_w_cpu /= "0000" else '0';
294
 
295
        -- memory address / write enable muxes and cpu stall logic
296 18 serginhofr
        addr_mem <= address_cpu;
297 13 serginhofr
        data_write_mem <= data_out_cpu;
298 18 serginhofr
        data_we_mem_s <= data_w_cpu when data_access_cpu = '1' and periph_access = '0' else "0000";
299 13 serginhofr
        data_we_mem <= data_we_mem_s;
300 18 serginhofr
 
301 13 serginhofr
        stall_cpu <= stall;
302
 
303
        -- interrupts and peripherals
304
        interrupt <= '0' when (irq_cause and irq_mask_reg) = x"0000" else '1';
305
        irq_cause <= extio_in & not uart_write_busy & uart_data_avail & irq_compare2 & irq_compare & irq_counter2_not & irq_counter2 & irq_counter_not & irq_counter;
306
 
307
        irq_cpu <= irq;
308
        irq_vector_cpu <= irq_vector_reg;
309
        irq_counter <= counter_reg(18);
310
        irq_counter_not <= not counter_reg(18);
311
        irq_counter2 <= counter_reg(16);
312
        irq_counter2_not <= not counter_reg(16);
313
        irq_compare <= '1' when compare_trig = '1' else '0';
314
        irq_compare2 <= '1' when compare2_trig = '1' else '0';
315
        extio_out <= extio_out_reg;
316
 
317
        write_enable <= '1' when data_we_mem_s /= "0000" else '0';
318
        data_write_uart <= data_out_cpu(31 downto 24);
319
 
320
        uart:
321
        if uart_support = "yes" generate
322 18 serginhofr
                enable_uart <= '1' when periph_access = '1' and address_cpu(7 downto 4) = "1110" else '0';
323 13 serginhofr
                enable_uart_write <= enable_uart and periph_access_we;
324
                enable_uart_read <= enable_uart and not periph_access_we;
325
 
326
                -- a simple UART
327
                serial: entity work.uart
328
                generic map (log_file => log_file)
329
                port map(
330
                        clk             => clock,
331
                        reset           => reset,
332
                        divisor         => uart_divisor(11 downto 0),
333
                        enable_read     => enable_uart_read,
334
                        enable_write    => enable_uart_write,
335
                        data_in         => data_write_uart,
336
                        data_out        => data_read_uart,
337
                        uart_read       => uart_read,
338
                        uart_write      => uart_write,
339
                        busy_write      => uart_write_busy,
340
                        data_avail      => uart_data_avail
341
                );
342
        end generate;
343
 
344
        no_uart:
345
        if uart_support = "no" generate
346
                enable_uart <= '0';
347
                data_read_uart <= (others => '0');
348
                uart_write_busy <= '0';
349
                uart_data_avail <= '0';
350
        end generate;
351
 
352
end arch;
353
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.