OpenCores
URL https://opencores.org/ocsvn/hf-risc/hf-risc/trunk

Subversion Repositories hf-risc

[/] [hf-risc/] [trunk/] [software/] [app/] [leds.c] - Blame information for rev 13

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 serginhofr
#include <hf-risc.h>
2
 
3
uint32_t extio_out = 0x01;
4
 
5
int main(void){
6
 
7
        for(;;){
8
                EXTIO_OUT = extio_out;
9
                extio_out <<= 1;
10
                if (extio_out == 0x10) extio_out = 0x01;
11
                delay_ms(500);
12
        }
13
 
14
        return 0;
15
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.