OpenCores
URL https://opencores.org/ocsvn/i2c_to_wb/i2c_to_wb/trunk

Subversion Repositories i2c_to_wb

[/] [i2c_to_wb/] [trunk/] [sim/] [tests/] [debug/] [debug.mpf] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 qaztronic
; Copyright 1991-2009 Mentor Graphics Corporation
2
;
3
; All Rights Reserved.
4
;
5
; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
6
; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
7
;
8
 
9
[Library]
10
std = $MODEL_TECH/../std
11
ieee = $MODEL_TECH/../ieee
12
verilog = $MODEL_TECH/../verilog
13
vital2000 = $MODEL_TECH/../vital2000
14
std_developerskit = $MODEL_TECH/../std_developerskit
15
synopsys = $MODEL_TECH/../synopsys
16
modelsim_lib = $MODEL_TECH/../modelsim_lib
17
sv_std = $MODEL_TECH/../sv_std
18
 
19
; Altera Primitive libraries
20
;
21
; VHDL Section
22
;
23
altera_mf = $MODEL_TECH/../altera/vhdl/altera_mf
24
altera = $MODEL_TECH/../altera/vhdl/altera
25
lpm = $MODEL_TECH/../altera/vhdl/220model
26
220model = $MODEL_TECH/../altera/vhdl/220model
27
max = $MODEL_TECH/../altera/vhdl/max
28
maxii = $MODEL_TECH/../altera/vhdl/maxii
29
stratix = $MODEL_TECH/../altera/vhdl/stratix
30
stratixii = $MODEL_TECH/../altera/vhdl/stratixii
31
stratixiigx = $MODEL_TECH/../altera/vhdl/stratixiigx
32
hardcopyii = $MODEL_TECH/../altera/vhdl/hardcopyii
33
hardcopyiii = $MODEL_TECH/../altera/vhdl/hardcopyiii
34
hardcopyiv = $MODEL_TECH/../altera/vhdl/hardcopyiv
35
cyclone = $MODEL_TECH/../altera/vhdl/cyclone
36
cycloneii = $MODEL_TECH/../altera/vhdl/cycloneii
37
cycloneiii = $MODEL_TECH/../altera/vhdl/cycloneiii
38
cycloneiiils = $MODEL_TECH/../altera/vhdl/cycloneiiils
39
sgate = $MODEL_TECH/../altera/vhdl/sgate
40
stratixgx = $MODEL_TECH/../altera/vhdl/stratixgx
41
altgxb = $MODEL_TECH/../altera/vhdl/altgxb
42
stratixgx_gxb = $MODEL_TECH/../altera/vhdl/stratixgx_gxb
43
stratixiigx_hssi = $MODEL_TECH/../altera/vhdl/stratixiigx_hssi
44
arriagx_hssi = $MODEL_TECH/../altera/vhdl/arriagx_hssi
45
arriaii = $MODEL_TECH/../altera/vhdl/arriaii
46
arriaii_hssi = $MODEL_TECH/../altera/vhdl/arriaii_hssi
47
arriaii_pcie_hip = $MODEL_TECH/../altera/vhdl/arriaii_pcie_hip
48
arriagx = $MODEL_TECH/../altera/vhdl/arriagx
49
altgxb_lib = $MODEL_TECH/../altera/vhdl/altgxb
50
stratixiv = $MODEL_TECH/../altera/vhdl/stratixiv
51
stratixiv_hssi = $MODEL_TECH/../altera/vhdl/stratixiv_hssi
52
stratixiv_pcie_hip = $MODEL_TECH/../altera/vhdl/stratixiv_pcie_hip
53
cycloneiv = $MODEL_TECH/../altera/vhdl/cycloneiv
54
cycloneiv_hssi = $MODEL_TECH/../altera/vhdl/cycloneiv_hssi
55
cycloneiv_pcie_hip = $MODEL_TECH/../altera/vhdl/cycloneiv_pcie_hip
56
hardcopyiv_hssi = $MODEL_TECH/../altera/vhdl/hardcopyiv_hssi
57
hardcopyiv_pcie_hip = $MODEL_TECH/../altera/vhdl/hardcopyiv_pcie_hip
58
;
59
; Verilog Section
60
;
61
altera_mf_ver = $MODEL_TECH/../altera/verilog/altera_mf
62
altera_ver = $MODEL_TECH/../altera/verilog/altera
63
lpm_ver = $MODEL_TECH/../altera/verilog/220model
64
220model_ver = $MODEL_TECH/../altera/verilog/220model
65
max_ver = $MODEL_TECH/../altera/verilog/max
66
maxii_ver = $MODEL_TECH/../altera/verilog/maxii
67
stratix_ver = $MODEL_TECH/../altera/verilog/stratix
68
stratixii_ver = $MODEL_TECH/../altera/verilog/stratixii
69
stratixiigx_ver = $MODEL_TECH/../altera/verilog/stratixiigx
70
arriagx_ver = $MODEL_TECH/../altera/verilog/arriagx
71
hardcopyii_ver = $MODEL_TECH/../altera/verilog/hardcopyii
72
hardcopyiii_ver = $MODEL_TECH/../altera/verilog/hardcopyiii
73
hardcopyiv_ver = $MODEL_TECH/../altera/verilog/hardcopyiv
74
cyclone_ver = $MODEL_TECH/../altera/verilog/cyclone
75
cycloneii_ver = $MODEL_TECH/../altera/verilog/cycloneii
76
cycloneiii_ver = $MODEL_TECH/../altera/verilog/cycloneiii
77
cycloneiiils_ver = $MODEL_TECH/../altera/verilog/cycloneiiils
78
sgate_ver = $MODEL_TECH/../altera/verilog/sgate
79
stratixgx_ver = $MODEL_TECH/../altera/verilog/stratixgx
80
altgxb_ver = $MODEL_TECH/../altera/verilog/altgxb
81
stratixgx_gxb_ver = $MODEL_TECH/../altera/verilog/stratixgx_gxb
82
stratixiigx_hssi_ver = $MODEL_TECH/../altera/verilog/stratixiigx_hssi
83
arriagx_hssi_ver = $MODEL_TECH/../altera/verilog/arriagx_hssi
84
arriaii_ver = $MODEL_TECH/../altera/verilog/arriaii
85
arriaii_hssi_ver = $MODEL_TECH/../altera/verilog/arriaii_hssi
86
arriaii_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriaii_pcie_hip
87
stratixiii_ver = $MODEL_TECH/../altera/verilog/stratixiii
88
stratixiii = $MODEL_TECH/../altera/vhdl/stratixiii
89
stratixiv_ver = $MODEL_TECH/../altera/verilog/stratixiv
90
stratixiv_hssi_ver = $MODEL_TECH/../altera/verilog/stratixiv_hssi
91
stratixiv_pcie_hip_ver = $MODEL_TECH/../altera/verilog/stratixiv_pcie_hip
92
cycloneiv_ver = $MODEL_TECH/../altera/verilog/cycloneiv
93
cycloneiv_hssi_ver = $MODEL_TECH/../altera/verilog/cycloneiv_hssi
94
cycloneiv_pcie_hip_ver = $MODEL_TECH/../altera/verilog/cycloneiv_pcie_hip
95
hardcopyiv_hssi_ver = $MODEL_TECH/../altera/verilog/hardcopyiv_hssi
96
hardcopyiv_pcie_hip_ver = $MODEL_TECH/../altera/verilog/hardcopyiv_pcie_hip
97
 
98
work = work
99
[vcom]
100
; VHDL93 variable selects language version as the default.
101
; Default is VHDL-2002.
102
; Value of 0 or 1987 for VHDL-1987.
103
; Value of 1 or 1993 for VHDL-1993.
104
; Default or value of 2 or 2002 for VHDL-2002.
105
VHDL93 = 2002
106
 
107
; Show source line containing error. Default is off.
108
; Show_source = 1
109
 
110
; Turn off unbound-component warnings. Default is on.
111
; Show_Warning1 = 0
112
 
113
; Turn off process-without-a-wait-statement warnings. Default is on.
114
; Show_Warning2 = 0
115
 
116
; Turn off null-range warnings. Default is on.
117
; Show_Warning3 = 0
118
 
119
; Turn off no-space-in-time-literal warnings. Default is on.
120
; Show_Warning4 = 0
121
 
122
; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
123
; Show_Warning5 = 0
124
 
125
; Turn off optimization for IEEE std_logic_1164 package. Default is on.
126
; Optimize_1164 = 0
127
 
128
; Turn on resolving of ambiguous function overloading in favor of the
129
; "explicit" function declaration (not the one automatically created by
130
; the compiler for each type declaration). Default is off.
131
; The .ini file has Explicit enabled so that std_logic_signed/unsigned
132
; will match the behavior of synthesis tools.
133
Explicit = 1
134
 
135
; Turn off acceleration of the VITAL packages. Default is to accelerate.
136
; NoVital = 1
137
 
138
; Turn off VITAL compliance checking. Default is checking on.
139
; NoVitalCheck = 1
140
 
141
; Ignore VITAL compliance checking errors. Default is to not ignore.
142
; IgnoreVitalErrors = 1
143
 
144
; Turn off VITAL compliance checking warnings. Default is to show warnings.
145
; Show_VitalChecksWarnings = 0
146
 
147
; Keep silent about case statement static warnings.
148
; Default is to give a warning.
149
; NoCaseStaticError = 1
150
 
151
; Keep silent about warnings caused by aggregates that are not locally static.
152
; Default is to give a warning.
153
; NoOthersStaticError = 1
154
 
155
; Turn off inclusion of debugging info within design units.
156
; Default is to include debugging info.
157
; NoDebug = 1
158
 
159
; Turn off "Loading..." messages. Default is messages on.
160
; Quiet = 1
161
 
162
; Turn on some limited synthesis rule compliance checking. Checks only:
163
;    -- signals used (read) by a process must be in the sensitivity list
164
; CheckSynthesis = 1
165
 
166
; Activate optimizations on expressions that do not involve signals,
167
; waits, or function/procedure/task invocations. Default is off.
168
; ScalarOpts = 1
169
 
170
; Require the user to specify a configuration for all bindings,
171
; and do not generate a compile time default binding for the
172
; component. This will result in an elaboration error of
173
; 'component not bound' if the user fails to do so. Avoids the rare
174
; issue of a false dependency upon the unused default binding.
175
; RequireConfigForAllDefaultBinding = 1
176
 
177
; Inhibit range checking on subscripts of arrays. Range checking on
178
; scalars defined with subtypes is inhibited by default.
179
; NoIndexCheck = 1
180
 
181
; Inhibit range checks on all (implicit and explicit) assignments to
182
; scalar objects defined with subtypes.
183
; NoRangeCheck = 1
184
 
185
[vlog]
186
 
187
; Turn off inclusion of debugging info within design units.
188
; Default is to include debugging info.
189
; NoDebug = 1
190
 
191
; Turn off "loading..." messages. Default is messages on.
192
; Quiet = 1
193
 
194
; Turn on Verilog hazard checking (order-dependent accessing of global vars).
195
; Default is off.
196
; Hazard = 1
197
 
198
; Turn on converting regular Verilog identifiers to uppercase. Allows case
199
; insensitivity for module names. Default is no conversion.
200
; UpCase = 1
201
 
202
; Turn on incremental compilation of modules. Default is off.
203
; Incremental = 1
204
 
205
; Turns on lint-style checking.
206
; Show_Lint = 1
207
 
208
[vsim]
209
; Simulator resolution
210
; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
211
resolution = 10ps
212
 
213
; User time unit for run commands
214
; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
215
; unit specified for Resolution. For example, if Resolution is 100ps,
216
; then UserTimeUnit defaults to ps.
217
; Should generally be set to default.
218
UserTimeUnit = default
219
 
220
; Default run length
221
RunLength = 100 ps
222
 
223
; Maximum iterations that can be run without advancing simulation time
224
IterationLimit = 5000
225
 
226
; Directive to license manager:
227
; vhdl          Immediately reserve a VHDL license
228
; vlog          Immediately reserve a Verilog license
229
; plus          Immediately reserve a VHDL and Verilog license
230
; nomgc         Do not look for Mentor Graphics Licenses
231
; nomti         Do not look for Model Technology Licenses
232
; noqueue       Do not wait in the license queue when a license isn't available
233
; viewsim       Try for viewer license but accept simulator license(s) instead
234
;               of queuing for viewer license
235
; License = plus
236
 
237
; Stop the simulator after a VHDL/Verilog assertion message
238
; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
239
BreakOnAssertion = 3
240
 
241
; Assertion Message Format
242
; %S - Severity Level
243
; %R - Report Message
244
; %T - Time of assertion
245
; %D - Delta
246
; %I - Instance or Region pathname (if available)
247
; %% - print '%' character
248
; AssertionFormat = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
249
 
250
; Assertion File - alternate file for storing VHDL/Verilog assertion messages
251
; AssertFile = assert.log
252
 
253
; Default radix for all windows and commands...
254
; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
255
DefaultRadix = symbolic
256
 
257
; VSIM Startup command
258
; Startup = do startup.do
259
 
260
; File for saving command transcript
261
TranscriptFile = transcript
262
 
263
; File for saving command history
264
; CommandHistory = cmdhist.log
265
 
266
; Specify whether paths in simulator commands should be described
267
; in VHDL or Verilog format.
268
; For VHDL, PathSeparator = /
269
; For Verilog, PathSeparator = .
270
; Must not be the same character as DatasetSeparator.
271
PathSeparator = /
272
 
273
; Specify the dataset separator for fully rooted contexts.
274
; The default is ':'. For example, sim:/top
275
; Must not be the same character as PathSeparator.
276
DatasetSeparator = :
277
 
278
; Disable VHDL assertion messages
279
; IgnoreNote = 1
280
; IgnoreWarning = 1
281
; IgnoreError = 1
282
; IgnoreFailure = 1
283
 
284
; Default force kind. May be freeze, drive, deposit, or default
285
; or in other terms, fixed, wired, or charged.
286
; A value of "default" will use the signal kind to determine the
287
; force kind, drive for resolved signals, freeze for unresolved signals
288
; DefaultForceKind = freeze
289
 
290
; If zero, open files when elaborated; otherwise, open files on
291
; first read or write.  Default is 0.
292
; DelayFileOpen = 1
293
 
294
; Control VHDL files opened for write.
295
;   0 = Buffered, 1 = Unbuffered
296
UnbufferedOutput = 0
297
 
298
; Control the number of VHDL files open concurrently.
299
; This number should always be less than the current ulimit
300
; setting for max file descriptors.
301
;   0 = unlimited
302
ConcurrentFileLimit = 40
303
 
304
; Control the number of hierarchical regions displayed as
305
; part of a signal name shown in the Wave window.
306
; A value of zero tells VSIM to display the full name.
307
; The default is 0.
308
; WaveSignalNameWidth = 0
309
 
310
; Turn off warnings from the std_logic_arith, std_logic_unsigned
311
; and std_logic_signed packages.
312
; StdArithNoWarnings = 1
313
 
314
; Turn off warnings from the IEEE numeric_std and numeric_bit packages.
315
; NumericStdNoWarnings = 1
316
 
317
; Control the format of the (VHDL) FOR generate statement label
318
; for each iteration.  Do not quote it.
319
; The format string here must contain the conversion codes %s and %d,
320
; in that order, and no other conversion codes.  The %s represents
321
; the generate_label; the %d represents the generate parameter value
322
; at a particular generate iteration (this is the position number if
323
; the generate parameter is of an enumeration type).  Embedded whitespace
324
; is allowed (but discouraged); leading and trailing whitespace is ignored.
325
; Application of the format must result in a unique scope name over all
326
; such names in the design so that name lookup can function properly.
327
; GenerateFormat = %s__%d
328
 
329
; Specify whether checkpoint files should be compressed.
330
; The default is 1 (compressed).
331
; CheckpointCompressMode = 0
332
 
333
; List of dynamically loaded objects for Verilog PLI applications
334
; Veriuser = veriuser.sl
335
 
336
; Specify default options for the restart command. Options can be one
337
; or more of: -force -nobreakpoint -nolist -nolog -nowave
338
; DefaultRestartOptions = -force
339
 
340
; HP-UX 10.20 ONLY - Enable memory locking to speed up large designs
341
; (> 500 megabyte memory footprint). Default is disabled.
342
; Specify number of megabytes to lock.
343
; LockedMemory = 1000
344
 
345
; Turn on (1) or off (0) WLF file compression.
346
; The default is 1 (compress WLF file).
347
; WLFCompress = 0
348
 
349
; Specify whether to save all design hierarchy (1) in the WLF file
350
; or only regions containing logged signals (0).
351
; The default is 0 (save only regions with logged signals).
352
; WLFSaveAllRegions = 1
353
 
354
; WLF file time limit.  Limit WLF file by time, as closely as possible,
355
; to the specified amount of simulation time.  When the limit is exceeded
356
; the earliest times get truncated from the file.
357
; If both time and size limits are specified the most restrictive is used.
358
; UserTimeUnits are used if time units are not specified.
359
; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
360
; WLFTimeLimit = 0
361
 
362
; WLF file size limit.  Limit WLF file size, as closely as possible,
363
; to the specified number of megabytes.  If both time and size limits
364
; are specified then the most restrictive is used.
365
; The default is 0 (no limit).
366
; WLFSizeLimit = 1000
367
 
368
; Specify whether or not a WLF file should be deleted when the
369
; simulation ends.  A value of 1 will cause the WLF file to be deleted.
370
; The default is 0 (do not delete WLF file when simulation ends).
371
; WLFDeleteOnQuit = 1
372
 
373
; Automatic SDF compilation
374
; Disables automatic compilation of SDF files in flows that support it.
375
; Default is on, uncomment to turn off.
376
; NoAutoSDFCompile = 1
377
 
378
[lmc]
379
 
380
[msg_system]
381
; Change a message severity or suppress a message.
382
; The format is:  = [,...]
383
; Examples:
384
;   note = 3009
385
;   warning = 3033
386
;   error = 3010,3016
387
;   fatal = 3016,3033
388
;   suppress = 3009,3016,3043
389
; The command verror  can be used to get the complete
390
; description of a message.
391
 
392
; Control transcripting of elaboration/runtime messages.
393
; The default is to have messages appear in the transcript and
394
; recorded in the wlf file (messages that are recorded in the
395
; wlf file can be viewed in the MsgViewer).  The other settings
396
; are to send messages only to the transcript or only to the
397
; wlf file.  The valid values are
398
;    both  {default}
399
;    tran  {transcript only}
400
;    wlf   {wlf file only}
401
; msgmode = both
402
[Project]
403
; Warning -- Do not edit the project properties directly.
404
;            Property names are dynamic in nature and property
405
;            values have special syntax.  Changing property data directly
406
;            can result in a corrupt MPF file.  All project properties
407
;            can be modified through project window dialogs.
408
Project_Version = 6
409
Project_DefaultLib = work
410
Project_SortMethod = unused
411
Project_Files_Count = 7
412
Project_File_0 = C:/qaz/_CVS_WORK/units/i2c_to_wb/sim/tests/debug/the_test.v
413
Project_File_P_0 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 last_compile 1273798997 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 0 dont_compile 0 cover_expr 0 cover_stmt 0
414
Project_File_1 = C:/qaz/_CVS_WORK/units/i2c_to_wb/sim/models/i2c_master_model.v
415
Project_File_P_1 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 1274199521 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 3 cover_expr 0 dont_compile 0 cover_stmt 0
416
Project_File_2 = C:/qaz/_CVS_WORK/units/i2c_to_wb/sim/models/glitch_generator.v
417
Project_File_P_2 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 vlog_noload 0 last_compile 1273796060 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 6 dont_compile 0 cover_expr 0 cover_stmt 0
418
Project_File_3 = C:/qaz/_CVS_WORK/units/i2c_to_wb/src/i2c_to_wb_top.v
419
Project_File_P_3 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} last_compile 1274200851 cover_fsm 0 cover_branch 0 vlog_noload 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options +incdir+../../../src compile_to work vlog_upper 0 cover_noshort 0 compile_order 4 dont_compile 0 cover_expr 0 cover_stmt 0
420
Project_File_4 = C:/qaz/_CVS_WORK/units/i2c_to_wb/sim/src/tb_dut.v
421
Project_File_P_4 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 1273796392 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options +incdir+../../../src compile_order 2 cover_expr 0 dont_compile 0 cover_stmt 0
422
Project_File_5 = C:/qaz/_CVS_WORK/units/i2c_to_wb/src/glitch_filter.v
423
Project_File_P_5 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 last_compile 1273795452 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options +incdir+../../../src compile_to work vlog_upper 0 cover_noshort 0 compile_order 5 dont_compile 0 cover_expr 0 cover_stmt 0
424
Project_File_6 = C:/qaz/_CVS_WORK/units/i2c_to_wb/sim/src/tb_top.v
425
Project_File_P_6 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1273187085 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 1 cover_expr 0 dont_compile 0 cover_stmt 0
426
Project_Sim_Count = 0
427
Project_Folder_Count = 0
428
Echo_Compile_Output = 0
429
Save_Compile_Report = 1
430
Project_Opt_Count = 0
431
ForceSoftPaths = 0
432
ProjectStatusDelay = 5000
433
VERILOG_DoubleClick = Edit
434
VERILOG_CustomDoubleClick =
435
SYSTEMVERILOG_DoubleClick = Edit
436
SYSTEMVERILOG_CustomDoubleClick =
437
VHDL_DoubleClick = Edit
438
VHDL_CustomDoubleClick =
439
PSL_DoubleClick = Edit
440
PSL_CustomDoubleClick =
441
TEXT_DoubleClick = Edit
442
TEXT_CustomDoubleClick =
443
SYSTEMC_DoubleClick = Edit
444
SYSTEMC_CustomDoubleClick =
445
TCL_DoubleClick = Edit
446
TCL_CustomDoubleClick =
447
MACRO_DoubleClick = Edit
448
MACRO_CustomDoubleClick =
449
VCD_DoubleClick = Edit
450
VCD_CustomDoubleClick =
451
SDF_DoubleClick = Edit
452
SDF_CustomDoubleClick =
453
XML_DoubleClick = Edit
454
XML_CustomDoubleClick =
455
LOGFILE_DoubleClick = Edit
456
LOGFILE_CustomDoubleClick =
457
UCDB_DoubleClick = Edit
458
UCDB_CustomDoubleClick =
459
Project_Major_Version = 6
460
Project_Minor_Version = 5

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.