OpenCores
URL https://opencores.org/ocsvn/i2s/i2s/trunk

Subversion Repositories i2s

[/] [i2s/] [web_uploads/] [dff.vhd] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 root
LIBRARY ieee;
2
USE ieee.std_logic_1164.all;
3
 
4
ENTITY dff IS
5
        PORT
6
        (
7
                d, clk, clrn    :       IN STD_LOGIC;
8
                q                               :       OUT STD_LOGIC
9
        );
10
 
11
END dff;
12
 
13
ARCHITECTURE a_dff OF dff IS
14
 
15
 
16
BEGIN
17
 
18
        PROCESS (clk, clrn)
19
        BEGIN
20
                IF clrn = '0' THEN q <= '0';
21
                ELSIF clk'event and clk = '1' THEN q <= d;
22
                END IF;
23
        END PROCESS;
24
 
25
END a_dff;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.