OpenCores
URL https://opencores.org/ocsvn/igor/igor/trunk

Subversion Repositories igor

[/] [igor/] [trunk/] [avr/] [tools/] [serialdmp.h] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 atypic
 
2
#define BAUDRATE B9600
3
#define DEVICE "/dev/ttyS0"
4
 
5
void getdata(char* data, unsigned char length);
6
 
7
/* Setup device
8
 */
9
int serialinit();
10
void serialuninit();

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.