OpenCores
URL https://opencores.org/ocsvn/igor/igor/trunk

Subversion Repositories igor

[/] [igor/] [trunk/] [microprogram_assembler/] [some.lisp] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 atypic
(%LAMBDA (ARGS)
2
         (%IF ARGS
3
              (%IF (%EQ? (%QUOTE %.) (%CAR ARGS))
4
                   (%CAR (%CDR ARGS))
5
                   (%CONS (%CAR ARGS)
6
                          (REC (%CDR ARGS))))
7
              nil))

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.