OpenCores
URL https://opencores.org/ocsvn/igor/igor/trunk

Subversion Repositories igor

[/] [igor/] [trunk/] [simulator/] [machine.h] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 atypic
#ifndef _MACHINE_H_
2
#define _MACHINE_H_
3
 
4
void machine_init(char *microcodepath, char *memorypath, unsigned int availmem, char *regpath, int cache_size);
5
void machine_shutdown(void);
6
void machine_shutup(void);
7
int machine_up(void);
8
 
9
#endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.