OpenCores
URL https://opencores.org/ocsvn/iota_pow_vhdl/iota_pow_vhdl/trunk

Subversion Repositories iota_pow_vhdl

[/] [iota_pow_vhdl/] [trunk/] [vhdl_cyclone10_lp/] [pll.vhd] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 microengin
-- megafunction wizard: %ALTPLL%
2
-- GENERATION: STANDARD
3
-- VERSION: WM1.0
4
-- MODULE: altpll 
5
 
6
-- ============================================================
7
-- File Name: pll.vhd
8
-- Megafunction Name(s):
9
--                      altpll
10
--
11
-- Simulation Library Files(s):
12
--                      altera_mf
13
-- ============================================================
14
-- ************************************************************
15
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
--
17
-- 17.1.0 Build 590 10/25/2017 SJ Lite Edition
18
-- ************************************************************
19
 
20
 
21
--Copyright (C) 2017  Intel Corporation. All rights reserved.
22
--Your use of Intel Corporation's design tools, logic functions 
23
--and other software and tools, and its AMPP partner logic 
24
--functions, and any output files from any of the foregoing 
25
--(including device programming or simulation files), and any 
26
--associated documentation or information are expressly subject 
27
--to the terms and conditions of the Intel Program License 
28
--Subscription Agreement, the Intel Quartus Prime License Agreement,
29
--the Intel FPGA IP License Agreement, or other applicable license
30
--agreement, including, without limitation, that your use is for
31
--the sole purpose of programming logic devices manufactured by
32
--Intel and sold by Intel or its authorized distributors.  Please
33
--refer to the applicable agreement for further details.
34
 
35
 
36
LIBRARY ieee;
37
USE ieee.std_logic_1164.all;
38
 
39
LIBRARY altera_mf;
40
USE altera_mf.all;
41
 
42
ENTITY pll IS
43
        PORT
44
        (
45
                areset          : IN STD_LOGIC  := '0';
46
                inclk0          : IN STD_LOGIC  := '0';
47
                c0              : OUT STD_LOGIC ;
48
                c1              : OUT STD_LOGIC ;
49
                locked          : OUT STD_LOGIC
50
        );
51
END pll;
52
 
53
 
54
ARCHITECTURE SYN OF pll IS
55
 
56
        SIGNAL sub_wire0        : STD_LOGIC_VECTOR (4 DOWNTO 0);
57
        SIGNAL sub_wire1        : STD_LOGIC ;
58
        SIGNAL sub_wire2        : STD_LOGIC ;
59
        SIGNAL sub_wire3        : STD_LOGIC ;
60
        SIGNAL sub_wire4        : STD_LOGIC ;
61
        SIGNAL sub_wire5        : STD_LOGIC_VECTOR (1 DOWNTO 0);
62
        SIGNAL sub_wire6_bv     : BIT_VECTOR (0 DOWNTO 0);
63
        SIGNAL sub_wire6        : STD_LOGIC_VECTOR (0 DOWNTO 0);
64
 
65
 
66
 
67
        COMPONENT altpll
68
        GENERIC (
69
                bandwidth_type          : STRING;
70
                clk0_divide_by          : NATURAL;
71
                clk0_duty_cycle         : NATURAL;
72
                clk0_multiply_by                : NATURAL;
73
                clk0_phase_shift                : STRING;
74
                clk1_divide_by          : NATURAL;
75
                clk1_duty_cycle         : NATURAL;
76
                clk1_multiply_by                : NATURAL;
77
                clk1_phase_shift                : STRING;
78
                compensate_clock                : STRING;
79
                inclk0_input_frequency          : NATURAL;
80
                intended_device_family          : STRING;
81
                lpm_hint                : STRING;
82
                lpm_type                : STRING;
83
                operation_mode          : STRING;
84
                pll_type                : STRING;
85
                port_activeclock                : STRING;
86
                port_areset             : STRING;
87
                port_clkbad0            : STRING;
88
                port_clkbad1            : STRING;
89
                port_clkloss            : STRING;
90
                port_clkswitch          : STRING;
91
                port_configupdate               : STRING;
92
                port_fbin               : STRING;
93
                port_inclk0             : STRING;
94
                port_inclk1             : STRING;
95
                port_locked             : STRING;
96
                port_pfdena             : STRING;
97
                port_phasecounterselect         : STRING;
98
                port_phasedone          : STRING;
99
                port_phasestep          : STRING;
100
                port_phaseupdown                : STRING;
101
                port_pllena             : STRING;
102
                port_scanaclr           : STRING;
103
                port_scanclk            : STRING;
104
                port_scanclkena         : STRING;
105
                port_scandata           : STRING;
106
                port_scandataout                : STRING;
107
                port_scandone           : STRING;
108
                port_scanread           : STRING;
109
                port_scanwrite          : STRING;
110
                port_clk0               : STRING;
111
                port_clk1               : STRING;
112
                port_clk2               : STRING;
113
                port_clk3               : STRING;
114
                port_clk4               : STRING;
115
                port_clk5               : STRING;
116
                port_clkena0            : STRING;
117
                port_clkena1            : STRING;
118
                port_clkena2            : STRING;
119
                port_clkena3            : STRING;
120
                port_clkena4            : STRING;
121
                port_clkena5            : STRING;
122
                port_extclk0            : STRING;
123
                port_extclk1            : STRING;
124
                port_extclk2            : STRING;
125
                port_extclk3            : STRING;
126
                self_reset_on_loss_lock         : STRING;
127
                width_clock             : NATURAL
128
        );
129
        PORT (
130
                        areset  : IN STD_LOGIC ;
131
                        inclk   : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
132
                        clk     : OUT STD_LOGIC_VECTOR (4 DOWNTO 0);
133
                        locked  : OUT STD_LOGIC
134
        );
135
        END COMPONENT;
136
 
137
BEGIN
138
        sub_wire6_bv(0 DOWNTO 0) <= "0";
139
        sub_wire6    <= To_stdlogicvector(sub_wire6_bv);
140
        sub_wire2    <= sub_wire0(1);
141
        sub_wire1    <= sub_wire0(0);
142
        c0    <= sub_wire1;
143
        c1    <= sub_wire2;
144
        locked    <= sub_wire3;
145
        sub_wire4    <= inclk0;
146
        sub_wire5    <= sub_wire6(0 DOWNTO 0) & sub_wire4;
147
 
148
        altpll_component : altpll
149
        GENERIC MAP (
150
                bandwidth_type => "AUTO",
151 7 microengin
                clk0_divide_by => 25,
152 4 microengin
                clk0_duty_cycle => 50,
153 7 microengin
                clk0_multiply_by => 94,
154 4 microengin
                clk0_phase_shift => "0",
155 7 microengin
                clk1_divide_by => 25,
156 4 microengin
                clk1_duty_cycle => 50,
157 7 microengin
                clk1_multiply_by => 47,
158 4 microengin
                clk1_phase_shift => "0",
159
                compensate_clock => "CLK0",
160
                inclk0_input_frequency => 20000,
161
                intended_device_family => "Cyclone 10 LP",
162
                lpm_hint => "CBX_MODULE_PREFIX=pll",
163
                lpm_type => "altpll",
164
                operation_mode => "NORMAL",
165
                pll_type => "AUTO",
166
                port_activeclock => "PORT_UNUSED",
167
                port_areset => "PORT_USED",
168
                port_clkbad0 => "PORT_UNUSED",
169
                port_clkbad1 => "PORT_UNUSED",
170
                port_clkloss => "PORT_UNUSED",
171
                port_clkswitch => "PORT_UNUSED",
172
                port_configupdate => "PORT_UNUSED",
173
                port_fbin => "PORT_UNUSED",
174
                port_inclk0 => "PORT_USED",
175
                port_inclk1 => "PORT_UNUSED",
176
                port_locked => "PORT_USED",
177
                port_pfdena => "PORT_UNUSED",
178
                port_phasecounterselect => "PORT_UNUSED",
179
                port_phasedone => "PORT_UNUSED",
180
                port_phasestep => "PORT_UNUSED",
181
                port_phaseupdown => "PORT_UNUSED",
182
                port_pllena => "PORT_UNUSED",
183
                port_scanaclr => "PORT_UNUSED",
184
                port_scanclk => "PORT_UNUSED",
185
                port_scanclkena => "PORT_UNUSED",
186
                port_scandata => "PORT_UNUSED",
187
                port_scandataout => "PORT_UNUSED",
188
                port_scandone => "PORT_UNUSED",
189
                port_scanread => "PORT_UNUSED",
190
                port_scanwrite => "PORT_UNUSED",
191
                port_clk0 => "PORT_USED",
192
                port_clk1 => "PORT_USED",
193
                port_clk2 => "PORT_UNUSED",
194
                port_clk3 => "PORT_UNUSED",
195
                port_clk4 => "PORT_UNUSED",
196
                port_clk5 => "PORT_UNUSED",
197
                port_clkena0 => "PORT_UNUSED",
198
                port_clkena1 => "PORT_UNUSED",
199
                port_clkena2 => "PORT_UNUSED",
200
                port_clkena3 => "PORT_UNUSED",
201
                port_clkena4 => "PORT_UNUSED",
202
                port_clkena5 => "PORT_UNUSED",
203
                port_extclk0 => "PORT_UNUSED",
204
                port_extclk1 => "PORT_UNUSED",
205
                port_extclk2 => "PORT_UNUSED",
206
                port_extclk3 => "PORT_UNUSED",
207
                self_reset_on_loss_lock => "OFF",
208
                width_clock => 5
209
        )
210
        PORT MAP (
211
                areset => areset,
212
                inclk => sub_wire5,
213
                clk => sub_wire0,
214
                locked => sub_wire3
215
        );
216
 
217
 
218
 
219
END SYN;
220
 
221
-- ============================================================
222
-- CNX file retrieval info
223
-- ============================================================
224
-- Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
225
-- Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
226
-- Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1"
227
-- Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
228
-- Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
229
-- Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
230
-- Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
231
-- Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
232
-- Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
233
-- Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0"
234
-- Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
235
-- Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
236
-- Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
237
-- Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"
238
-- Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0"
239
-- Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "Any"
240 5 microengin
-- Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "2"
241
-- Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "4"
242 4 microengin
-- Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
243
-- Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000"
244 7 microengin
-- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "188.000000"
245
-- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "94.000000"
246 4 microengin
-- Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0"
247
-- Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
248
-- Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
249
-- Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0"
250
-- Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"
251
-- Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
252
-- Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
253
-- Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.000"
254
-- Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
255
-- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
256
-- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
257
-- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
258
-- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
259
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone 10 LP"
260
-- Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
261
-- Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1"
262
-- Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
263
-- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available"
264
-- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
265
-- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
266
-- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "ps"
267
-- Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any"
268
-- Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
269
-- Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0"
270 5 microengin
-- Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "7"
271
-- Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "7"
272 4 microengin
-- Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
273 7 microengin
-- Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "188.00000000"
274
-- Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "94.00000000"
275
-- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1"
276
-- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "1"
277 4 microengin
-- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
278
-- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz"
279
-- Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1"
280
-- Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0"
281
-- Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
282
-- Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000"
283
-- Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0"
284
-- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
285
-- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "ps"
286
-- Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
287
-- Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "1"
288
-- Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
289
-- Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
290
-- Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
291
-- Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0"
292
-- Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
293
-- Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
294
-- Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0"
295
-- Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
296
-- Retrieval info: PRIVATE: RECONFIG_FILE STRING "pll.mif"
297
-- Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
298
-- Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1"
299
-- Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0"
300
-- Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
301
-- Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
302
-- Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
303
-- Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
304
-- Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
305
-- Retrieval info: PRIVATE: SPREAD_USE STRING "0"
306
-- Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
307
-- Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
308
-- Retrieval info: PRIVATE: STICKY_CLK1 STRING "1"
309
-- Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
310
-- Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"
311
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
312
-- Retrieval info: PRIVATE: USE_CLK0 STRING "1"
313
-- Retrieval info: PRIVATE: USE_CLK1 STRING "1"
314
-- Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
315
-- Retrieval info: PRIVATE: USE_CLKENA1 STRING "0"
316
-- Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0"
317
-- Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
318
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
319
-- Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO"
320 7 microengin
-- Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "25"
321 4 microengin
-- Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
322 7 microengin
-- Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "94"
323 4 microengin
-- Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
324 7 microengin
-- Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "25"
325 4 microengin
-- Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50"
326 7 microengin
-- Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "47"
327 4 microengin
-- Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0"
328
-- Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
329
-- Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20000"
330
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone 10 LP"
331
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
332
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
333
-- Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO"
334
-- Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED"
335
-- Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_USED"
336
-- Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED"
337
-- Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED"
338
-- Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED"
339
-- Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED"
340
-- Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED"
341
-- Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED"
342
-- Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED"
343
-- Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED"
344
-- Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED"
345
-- Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED"
346
-- Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED"
347
-- Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED"
348
-- Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED"
349
-- Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED"
350
-- Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED"
351
-- Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED"
352
-- Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED"
353
-- Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED"
354
-- Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED"
355
-- Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED"
356
-- Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED"
357
-- Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED"
358
-- Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED"
359
-- Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED"
360
-- Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED"
361
-- Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED"
362
-- Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED"
363
-- Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED"
364
-- Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED"
365
-- Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED"
366
-- Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED"
367
-- Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED"
368
-- Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED"
369
-- Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED"
370
-- Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED"
371
-- Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED"
372
-- Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED"
373
-- Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED"
374
-- Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED"
375
-- Retrieval info: CONSTANT: SELF_RESET_ON_LOSS_LOCK STRING "OFF"
376
-- Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5"
377
-- Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]"
378
-- Retrieval info: USED_PORT: @inclk 0 0 2 0 INPUT_CLK_EXT VCC "@inclk[1..0]"
379
-- Retrieval info: USED_PORT: areset 0 0 0 0 INPUT GND "areset"
380
-- Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0"
381
-- Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1"
382
-- Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
383
-- Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked"
384
-- Retrieval info: CONNECT: @areset 0 0 0 0 areset 0 0 0 0
385
-- Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
386
-- Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
387
-- Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
388
-- Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1
389
-- Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0
390
-- Retrieval info: GEN_FILE: TYPE_NORMAL pll.vhd TRUE
391
-- Retrieval info: GEN_FILE: TYPE_NORMAL pll.ppf TRUE
392
-- Retrieval info: GEN_FILE: TYPE_NORMAL pll.inc FALSE
393
-- Retrieval info: GEN_FILE: TYPE_NORMAL pll.cmp TRUE
394
-- Retrieval info: GEN_FILE: TYPE_NORMAL pll.bsf FALSE
395
-- Retrieval info: GEN_FILE: TYPE_NORMAL pll_inst.vhd FALSE
396
-- Retrieval info: LIB_FILE: altera_mf
397
-- Retrieval info: CBX_MODULE_PREFIX: ON

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.