OpenCores
URL https://opencores.org/ocsvn/layer2/layer2/trunk

Subversion Repositories layer2

[/] [layer2/] [trunk/] [vhdl/] [ddr/] [rtl/] [iddr.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 idiolatrie
--------------------------------------------------------------------------------
2
-- Mycron® DDR SDRAM - MT46V32M16 - 8 Meg x 16 x 4 banks                      --
3
--------------------------------------------------------------------------------
4
--                                                                            --
5
-- REFERENCES                                                                 --
6
--                                                                            --
7
--  [1] http://opencores.org/project,ddr2_sdram                               --
8
--  [2] http://opencores.org/project,sdram_controller                         --
9
--  [3] Spartan-3E Libraries Guide for HDL Designs                            --
10
--                                                                            --
11
--------------------------------------------------------------------------------
12
-- Copyright (C)2012  Mathias Hörtnagl <mathias.hoertnagl@gmail.comt>         --
13
--                                                                            --
14
-- This program is free software: you can redistribute it and/or modify       --
15
-- it under the terms of the GNU General Public License as published by       --
16
-- the Free Software Foundation, either version 3 of the License, or          --
17
-- (at your option) any later version.                                        --
18
--                                                                            --
19
-- This program is distributed in the hope that it will be useful,            --
20
-- but WITHOUT ANY WARRANTY; without even the implied warranty of             --
21
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the              --
22
-- GNU General Public License for more details.                               --
23
--                                                                            --
24
-- You should have received a copy of the GNU General Public License          --
25
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.      --
26
--------------------------------------------------------------------------------
27
library ieee;
28
use ieee.std_logic_1164.all;
29
use ieee.numeric_std.all;
30
 
31
library work;
32
use work.iwb.all;
33
 
34
package iddr is
35
 
36
   component ddr is
37
      port (
38
         si       : in    slave_in_t;
39
         so       : out   slave_out_t;
40
      -- Non Wishbone Signals
41
         clk0     : in    std_logic;
42
         clk90    : in    std_logic;
43
         SD_CK_N  : out   std_logic;
44
         SD_CK_P  : out   std_logic;
45
         SD_CKE   : out   std_logic;
46
         SD_BA    : out   std_logic_vector(1 downto 0);
47
         SD_A     : out   std_logic_vector(12 downto 0);
48
         SD_CMD   : out   std_logic_vector(3 downto 0);
49
         SD_DM    : out   std_logic_vector(1 downto 0);
50
         SD_DQS   : inout std_logic_vector(1 downto 0);
51
         SD_DQ    : inout std_logic_vector(15 downto 0)
52
      );
53
   end component;
54
 
55
end iddr;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.