OpenCores
URL https://opencores.org/ocsvn/layer2/layer2/trunk

Subversion Repositories layer2

[/] [layer2/] [trunk/] [vhdl/] [flash/] [rtl/] [iflash.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 idiolatrie
--------------------------------------------------------------------------------
2
-- Numonyx™ 128 Mbit EMBEDDED FLASH MEMORY J3 Version D                       --
3
--------------------------------------------------------------------------------
4
-- See <flash.h> and <flash.c> for information on usage and bus interface.    --
5
--                                                                            --
6
-- REFERENCES                                                                 --
7
--                                                                            --
8
--  [1] Numonyx™ Embedded Flash Memory(J3 v. D) Datasheet Revision 5          --
9
--  [2] Mihai Plesa - StrataFlash memory operations on a Spartan-3E           --
10
--        <http://mihaiplesa.ro/blog/>                                        --
11
--                                                                            --
12
--------------------------------------------------------------------------------
13
-- Copyright (C)2011  Mathias Hörtnagl <mathias.hoertnagl@gmail.comt>         --
14
--                                                                            --
15
-- This program is free software: you can redistribute it and/or modify       --
16
-- it under the terms of the GNU General Public License as published by       --
17
-- the Free Software Foundation, either version 3 of the License, or          --
18
-- (at your option) any later version.                                        --
19
--                                                                            --
20
-- This program is distributed in the hope that it will be useful,            --
21
-- but WITHOUT ANY WARRANTY; without even the implied warranty of             --
22
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the              --
23
-- GNU General Public License for more details.                               --
24
--                                                                            --
25
-- You should have received a copy of the GNU General Public License          --
26
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.      --
27
--------------------------------------------------------------------------------
28
library ieee;
29
use ieee.std_logic_1164.all;
30
use ieee.numeric_std.all;
31
 
32
library work;
33
use work.iwb.all;
34
 
35
package iflash is
36
 
37
   component flash
38
      port(
39
         si           : in    slave_in_t;
40
         so           : out   slave_out_t;
41
      -- Non Wishbone Signals
42
         SF_OE        : out   std_logic;
43
         SF_CE        : out   std_logic;
44
         SF_WE        : out   std_logic;
45
         SF_BYTE      : out   std_logic;
46
         --SF_STS       : in    std_logic;
47
         SF_A         : out   std_logic_vector(23 downto 0);
48
         SF_D         : inout std_logic_vector(7 downto 0);
49
         PF_OE        : out   std_logic;
50
         LCD_RW       : out   std_logic;
51
         LCD_E        : out   std_logic;
52
         SPI_ROM_CS   : out   std_logic;
53
         SPI_ADC_CONV : out   std_logic;
54
         SPI_DAC_CS   : out   std_logic
55
      );
56
   end component;
57
 
58
end iflash;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.