OpenCores
URL https://opencores.org/ocsvn/layer2/layer2/trunk

Subversion Repositories layer2

[/] [layer2/] [trunk/] [vhdl/] [mem/] [rtl/] [imem.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 idiolatrie
--------------------------------------------------------------------------------
2
-- WB Memory Controller                                                       --
3
--------------------------------------------------------------------------------
4
-- Memory with a bus width of 32bit and a granularity of 8bit.                --
5
--                                                                            --
6
--------------------------------------------------------------------------------
7
-- Copyright (C)2011  Mathias Hörtnagl <mathias.hoertnagl@gmail.comt>         --
8
--                                                                            --
9
-- This program is free software: you can redistribute it and/or modify       --
10
-- it under the terms of the GNU General Public License as published by       --
11
-- the Free Software Foundation, either version 3 of the License, or          --
12
-- (at your option) any later version.                                        --
13
--                                                                            --
14
-- This program is distributed in the hope that it will be useful,            --
15
-- but WITHOUT ANY WARRANTY; without even the implied warranty of             --
16
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the              --
17
-- GNU General Public License for more details.                               --
18
--                                                                            --
19
-- You should have received a copy of the GNU General Public License          --
20
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.      --
21
--------------------------------------------------------------------------------
22
library ieee;
23
use ieee.std_logic_1164.all;
24
use ieee.numeric_std.all;
25
 
26
library work;
27
use work.iwb.all;
28
 
29
package imem is
30
 
31
   type mem_t is array ( 0 to 4095 )
32
      of std_logic_vector(7 downto 0);
33
 
34
   type mem_block_t is array ( 0 to 3 ) of mem_t;
35
 
36
   component mem is
37
      port(
38
         si : in  slave_in_t;
39
         so : out slave_out_t
40
      );
41
   end component;
42
 
43
end imem;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.