OpenCores
URL https://opencores.org/ocsvn/layer2/layer2/trunk

Subversion Repositories layer2

[/] [layer2/] [trunk/] [vhdl/] [pit/] [rtl/] [ipit.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 idiolatrie
--------------------------------------------------------------------------------
2
-- Programmable Interval Timer                                                --
3
--------------------------------------------------------------------------------
4
-- Simplest implementation of a programmable interval timer. The timer is     --
5
-- Wishbone compliant and functions on two instructions:                      --
6
--                                                                            --
7
--  o Start the timer with a Wb write. The data to be sent contains the       --
8
--    inverall length.                                                        --
9
--                                                                            --
10
--  o After the set limit is reached, the timer issues an interrupt and waits --
11
--    for a WB write. It returns back to initial state afterwards and waits   --
12
--    for a new WB write.                                                     --
13
--                                                                            --
14
-- The timer supports pulse timing only.                                      --
15
--                                                                            --
16
--------------------------------------------------------------------------------
17
-- Copyright (C)2011  Mathias Hörtnagl <mathias.hoertnagl@gmail.comt>         --
18
--                                                                            --
19
-- This program is free software: you can redistribute it and/or modify       --
20
-- it under the terms of the GNU General Public License as published by       --
21
-- the Free Software Foundation, either version 3 of the License, or          --
22
-- (at your option) any later version.                                        --
23
--                                                                            --
24
-- This program is distributed in the hope that it will be useful,            --
25
-- but WITHOUT ANY WARRANTY; without even the implied warranty of             --
26
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the              --
27
-- GNU General Public License for more details.                               --
28
--                                                                            --
29
-- You should have received a copy of the GNU General Public License          --
30
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.      --
31
--------------------------------------------------------------------------------
32
library ieee;
33
use ieee.std_logic_1164.all;
34
use ieee.numeric_std.all;
35
 
36
library work;
37
use work.iwb.all;
38
 
39
package ipit is
40
 
41
   component pit is
42
      port(
43
         si   : in  slave_in_t;
44
         so   : out slave_out_t;
45
      -- Non-Wishbone Signals
46
         intr : out std_logic
47
      );
48
   end component;
49
 
50
end ipit;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.