OpenCores
URL https://opencores.org/ocsvn/layer2/layer2/trunk

Subversion Repositories layer2

[/] [layer2/] [trunk/] [vhdl/] [vga/] [bench/] [tb_vga.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 idiolatrie
--------------------------------------------------------------------------------
2
--                                                                            --
3
--------------------------------------------------------------------------------
4
-- Version:  1.0                                                              --
5
-- Device:   Spartan 3E                                                       --
6
--                                                                            --
7
-- DESCRIPTION                                                                --
8
--                                                                            --
9
--                                                                            --
10
--------------------------------------------------------------------------------
11
-- Copyright (C)2011  Mathias Hörtnagl <mathias.hoertnagl@gmail.comt>         --
12
--                                                                            --
13
-- This program is free software: you can redistribute it and/or modify       --
14
-- it under the terms of the GNU General Public License as published by       --
15
-- the Free Software Foundation, either version 3 of the License, or          --
16
-- (at your option) any later version.                                        --
17
--                                                                            --
18
-- This program is distributed in the hope that it will be useful,            --
19
-- but WITHOUT ANY WARRANTY; without even the implied warranty of             --
20
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the              --
21
-- GNU General Public License for more details.                               --
22
--                                                                            --
23
-- You should have received a copy of the GNU General Public License          --
24
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.      --
25
--------------------------------------------------------------------------------
26
library ieee;
27
use ieee.std_logic_1164.all;
28
use ieee.numeric_std.all;
29
 
30
library work;
31
use work.iwb.all;
32
use work.ivga.all;
33
 
34
entity tb_vga is
35
   port(
36
      CLK       : in  std_logic;
37
      VGA_HSYNC : out std_logic;
38
      VGA_VSYNC : out std_logic;
39
      VGA_RED   : out std_logic;
40
      VGA_GREEN : out std_logic;
41
      VGA_BLUE  : out std_logic
42
   );
43
end tb_vga;
44
 
45
architecture tb of tb_vga is
46
 
47
   component global_clock
48
      port(
49
         clkin_in        : in std_logic;
50
         rst_in          : in std_logic;
51
         clkdv_out       : out std_logic;
52
         clkin_ibufg_out : out std_logic;
53
         clk0_out        : out std_logic
54
         );
55
   end component;
56
 
57
   signal si : slave_in_t;
58
   signal so : slave_out_t;
59
begin
60
 
61
   inst_clock: global_clock
62
      port map(
63
         clkin_in => CLK,
64
         rst_in => '0',
65
         clkdv_out => open, --si.clk,
66
         clkin_ibufg_out => open,
67
         clk0_out => si.clk--open
68
      );
69
 
70
   disp : vga
71
   port map(
72
      si        => si,
73
      so        => so,
74
   -- Non Wishbone Signals
75
      VGA_HSYNC => VGA_HSYNC,
76
      VGA_VSYNC => VGA_VSYNC,
77
      VGA_RED   => VGA_RED,
78
      VGA_GREEN => VGA_GREEN,
79
      VGA_BLUE  => VGA_BLUE
80
   );
81
end tb;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.