OpenCores
URL https://opencores.org/ocsvn/light52/light52/trunk

Subversion Repositories light52

[/] [light52/] [trunk/] [test/] [irq_test/] [obj_code_pkg.vhdl] - Blame information for rev 18

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 ja_rd
--------------------------------------------------------------------------------
2
-- obj_code_pkg.vhdl -- Application object code in vhdl constant string format.
3
--------------------------------------------------------------------------------
4
-- Written by build_rom.py for project 'irq_test'.
5
--------------------------------------------------------------------------------
6
-- Copyright (C) 2012 Jose A. Ruiz
7
--
8
-- This source file may be used and distributed without
9
-- restriction provided that this copyright statement is not
10
-- removed from the file and that any derivative work contains
11
-- the original copyright notice and the associated disclaimer.
12
--
13
-- This source file is free software; you can redistribute it
14
-- and/or modify it under the terms of the GNU Lesser General
15
-- Public License as published by the Free Software Foundation;
16
-- either version 2.1 of the License, or (at your option) any
17
-- later version.
18
--
19
-- This source is distributed in the hope that it will be
20
-- useful, but WITHOUT ANY WARRANTY; without even the implied
21
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
22
-- PURPOSE.  See the GNU Lesser General Public License for more
23
-- details.
24
--
25
-- You should have received a copy of the GNU Lesser General
26
-- Public License along with this source; if not, download it
27
-- from http://www.opencores.org/lgpl.shtml
28
--------------------------------------------------------------------------------
29
 
30
library ieee;
31
use ieee.std_logic_1164.all;
32
use ieee.numeric_std.all;
33
use work.light52_pkg.all;
34
 
35
package obj_code_pkg is
36
 
37
-- Size of XCODE memory in bytes.
38
constant XCODE_SIZE : natural := 2048;
39
-- Size of XDATA memory in bytes.
40 18 ja_rd
constant XDATA_SIZE : natural := 0;
41 3 ja_rd
 
42
-- Object code initialization constant.
43 18 ja_rd
constant object_code : t_obj_code(0 to 639) := (
44
    X"02", X"00", X"46", X"02", X"01", X"3f", X"00", X"00",
45
    X"00", X"00", X"00", X"02", X"01", X"9a", X"00", X"00",
46
    X"00", X"00", X"00", X"02", X"01", X"ea", X"00", X"00",
47
    X"00", X"00", X"00", X"02", X"01", X"ea", X"00", X"00",
48
    X"00", X"00", X"00", X"02", X"01", X"ea", X"00", X"00",
49 3 ja_rd
    X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
50 18 ja_rd
    X"90", X"02", X"43", X"12", X"01", X"33", X"75", X"a8",
51
    X"00", X"01", X"39", X"90", X"02", X"12", X"12", X"01",
52
    X"33", X"75", X"a8", X"00", X"01", X"44", X"75", X"a8",
53
    X"00", X"75", X"b8", X"01", X"75", X"64", X"00", X"75",
54
    X"90", X"01", X"00", X"00", X"00", X"e5", X"60", X"b4",
55
    X"00", X"e1", X"d2", X"c0", X"75", X"a8", X"01", X"75",
56
    X"90", X"01", X"00", X"00", X"00", X"e5", X"60", X"b4",
57
    X"00", X"d1", X"d2", X"c0", X"75", X"90", X"00", X"75",
58
    X"a8", X"81", X"75", X"60", X"00", X"75", X"90", X"01",
59
    X"00", X"00", X"00", X"e5", X"60", X"b4", X"01", X"b0",
60
    X"d2", X"c0", X"75", X"64", X"01", X"75", X"90", X"00",
61
    X"75", X"a8", X"83", X"75", X"60", X"00", X"75", X"90",
62
    X"01", X"00", X"00", X"e5", X"60", X"b4", X"01", X"98",
63
    X"d2", X"c0", X"75", X"64", X"02", X"75", X"90", X"00",
64
    X"75", X"a8", X"83", X"75", X"60", X"00", X"75", X"90",
65
    X"01", X"00", X"00", X"e5", X"60", X"b4", X"01", X"80",
66
    X"d2", X"c0", X"75", X"64", X"03", X"75", X"62", X"00",
67
    X"75", X"90", X"00", X"75", X"a8", X"83", X"75", X"60",
68
    X"00", X"75", X"88", X"01", X"75", X"8d", X"00", X"75",
69
    X"8c", X"00", X"75", X"8f", X"00", X"75", X"8e", X"01",
70
    X"75", X"88", X"30", X"79", X"5f", X"00", X"00", X"00",
71
    X"00", X"00", X"00", X"00", X"00", X"d9", X"f6", X"e5",
72
    X"62", X"b4", X"01", X"41", X"90", X"02", X"24", X"12",
73
    X"01", X"33", X"75", X"a8", X"00", X"75", X"88", X"00",
74
    X"75", X"8d", X"00", X"75", X"8c", X"00", X"75", X"8f",
75
    X"c3", X"75", X"8e", X"50", X"75", X"88", X"30", X"78",
76
    X"5f", X"00", X"d8", X"fd", X"e5", X"8d", X"b4", X"00",
77
    X"1f", X"e5", X"8c", X"b4", X"00", X"1a", X"78", X"0a",
78
    X"00", X"d8", X"fd", X"e5", X"8d", X"b4", X"00", X"10",
79
    X"e5", X"8c", X"b4", X"01", X"0b", X"90", X"02", X"5f",
80
    X"12", X"01", X"33", X"21", X"23", X"02", X"01", X"f6",
81
    X"90", X"02", X"51", X"12", X"01", X"33", X"75", X"a8",
82
    X"00", X"21", X"31", X"78", X"00", X"e8", X"08", X"93",
83
    X"60", X"04", X"f5", X"99", X"80", X"f7", X"22", X"75",
84
    X"90", X"00", X"75", X"c0", X"ff", X"05", X"60", X"e5",
85
    X"64", X"b4", X"00", X"06", X"90", X"02", X"00", X"31",
86
    X"33", X"32", X"b4", X"02", X"2a", X"75", X"62", X"00",
87
    X"75", X"88", X"01", X"75", X"8d", X"00", X"75", X"8c",
88
    X"00", X"75", X"8f", X"00", X"75", X"8e", X"01", X"75",
89
    X"a8", X"82", X"75", X"88", X"30", X"78", X"5f", X"00",
90
    X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"d8",
91
    X"f6", X"e5", X"62", X"b4", X"00", X"6e", X"32", X"b4",
92
    X"01", X"17", X"75", X"60", X"00", X"75", X"64", X"00",
93
    X"75", X"a8", X"81", X"75", X"90", X"01", X"78", X"0a",
94
    X"00", X"d8", X"fd", X"e5", X"60", X"b4", X"00", X"54",
95
    X"32", X"32", X"e5", X"64", X"b4", X"03", X"45", X"75",
96
    X"60", X"00", X"75", X"64", X"00", X"75", X"a8", X"81",
97
    X"75", X"90", X"01", X"78", X"0a", X"00", X"d8", X"fd",
98
    X"e5", X"60", X"b4", X"01", X"41", X"75", X"64", X"00",
99
    X"75", X"62", X"00", X"75", X"88", X"01", X"75", X"8d",
100
    X"00", X"75", X"8c", X"00", X"75", X"8f", X"00", X"75",
101
    X"8e", X"01", X"75", X"88", X"30", X"79", X"5f", X"00",
102
    X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"d9",
103
    X"f6", X"e5", X"62", X"b4", X"00", X"0e", X"05", X"62",
104
    X"75", X"88", X"01", X"32", X"05", X"62", X"75", X"88",
105
    X"01", X"32", X"21", X"ea", X"90", X"02", X"12", X"31",
106
    X"33", X"75", X"a8", X"00", X"21", X"f4", X"90", X"02",
107
    X"43", X"31", X"33", X"75", X"a8", X"00", X"21", X"fe",
108
    X"3c", X"45", X"78", X"74", X"65", X"72", X"6e", X"61",
109
    X"6c", X"20", X"69", X"72", X"71", X"3e", X"0d", X"0a",
110
    X"00", X"00", X"55", X"6e", X"65", X"78", X"70", X"65",
111
    X"63", X"74", X"65", X"64", X"20", X"49", X"52", X"51",
112
    X"0d", X"0a", X"00", X"00", X"49", X"52", X"51", X"20",
113
    X"74", X"65", X"73", X"74", X"20", X"66", X"69", X"6e",
114
    X"69", X"73", X"68", X"65", X"64", X"2c", X"20", X"6e",
115
    X"6f", X"20", X"65", X"72", X"72", X"6f", X"72", X"73",
116
    X"0d", X"0a", X"00", X"4d", X"69", X"73", X"73", X"69",
117
    X"6e", X"67", X"20", X"49", X"52", X"51", X"0d", X"0a",
118
    X"00", X"54", X"69", X"6d", X"65", X"72", X"20", X"65",
119
    X"72", X"72", X"6f", X"72", X"0d", X"0a", X"00", X"54",
120
    X"69", X"6d", X"65", X"72", X"20", X"74", X"65", X"73",
121
    X"74", X"20", X"66", X"69", X"6e", X"69", X"73", X"68",
122
    X"65", X"64", X"2c", X"20", X"6e", X"6f", X"20", X"65",
123
    X"72", X"72", X"6f", X"72", X"73", X"0d", X"0a", X"00"
124
 
125 3 ja_rd
);
126
 
127
 
128
end package obj_code_pkg;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.