OpenCores
URL https://opencores.org/ocsvn/loadbalancer/loadbalancer/trunk

Subversion Repositories loadbalancer

[/] [loadbalancer/] [trunk/] [LB.pin] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 atalla
 -- Copyright (C) 1991-2007 Altera Corporation
2
 -- Your use of Altera Corporation's design tools, logic functions
3
 -- and other software and tools, and its AMPP partner logic
4
 -- functions, and any output files from any of the foregoing
5
 -- (including device programming or simulation files), and any
6
 -- associated documentation or information are expressly subject
7
 -- to the terms and conditions of the Altera Program License
8
 -- Subscription Agreement, Altera MegaCore Function License
9
 -- Agreement, or other applicable license agreement, including,
10
 -- without limitation, that your use is for the sole purpose of
11
 -- programming logic devices manufactured by Altera and sold by
12
 -- Altera or its authorized distributors.  Please refer to the
13
 -- applicable agreement for further details.
14
 --
15
 -- This is a Quartus II output file. It is for reporting purposes only, and is
16
 -- not intended for use as a Quartus II input file. This file cannot be used
17
 -- to make Quartus II pin assignments - for instructions on how to make pin
18
 -- assignments, please see Quartus II help.
19
 ---------------------------------------------------------------------------------
20
 
21
 
22
 
23
 ---------------------------------------------------------------------------------
24
 -- NC            : No Connect. This pin has no internal connection to the device.
25
 -- DNU           : Do Not Use. This pin MUST NOT be connected.
26
 -- VCCPGM        : Dedicated power pin for configuration, which MUST be connected to 1.8V, 2.5V or 3.0V depending on the needs of the configuration device.
27
 -- VCCINT        : Dedicated power pin, which MUST be connected to VCC  (1.2V).
28
 -- VCCIO         : Dedicated power pin, which MUST be connected to VCC
29
 --                 of its bank.
30
 --                                     Bank 1:         3.3V
31
 --                                     Bank 2:         3.3V
32
 --                                     Bank 3:         3.3V
33
 --                                     Bank 4:         3.3V
34
 --                                     Bank 5:         3.3V
35
 --                                     Bank 6:         3.3V
36
 --                                     Bank 7:         3.3V
37
 --                                     Bank 8:         3.3V
38
 --                                     Bank 9:         3.3V
39
 --                                     Bank 10:        3.3V
40
 -- GND           : Dedicated ground pin. Dedicated GND pins MUST be connected to GND.
41
 --                                     It can also be used to report unused dedicated pins. The connection
42
 --                                     on the board for unused dedicated pins depends on whether this will
43
 --                                     be used in a future design. One example is device migration. When
44
 --                                     using device migration, refer to the device pin-tables. If it is a
45
 --                                     GND pin in the pin table or if it will not be used in a future design
46
 --                                     for another purpose the it MUST be connected to GND. If it is an unused
47
 --                                     dedicated pin, then it can be connected to a valid signal on the board
48
 --                                     (low, high, or toggling) if that signal is required for a different
49
 --                                     revision of the design.
50
 -- GND+          : Unused input pin. It can also be used to report unused dual-purpose pins.
51
 --                                     This pin should be connected to GND. It may also be connected  to a
52
 --                                     valid signal  on the board  (low, high, or toggling)  if that signal
53
 --                                     is required for a different revision of the design.
54
 -- GND*          : Unused  I/O  pin.   For transceiver I/O banks (Bank 13, 14, 15, 16 and 17),
55
 --                 connect each pin marked GND* either individually through a 10 kohm resistor
56
 --                 to GND or tie all pins together and connect through a single 10 kohm resistor
57
 --                 to GND.
58
 --                 For non-transceiver I/O banks, connect each pin marked GND* directly to GND
59
 --                 or leave it unconnected.
60
 -- RESERVED      : Unused I/O pin, which MUST be left unconnected.
61
 -- RESERVED_INPUT    : Pin is tri-stated and should be connected to the board.
62
 -- RESERVED_INPUT_WITH_WEAK_PULLUP    : Pin is tri-stated with internal weak pull-up resistor.
63
 -- RESERVED_INPUT_WITH_BUS_HOLD       : Pin is tri-stated with bus-hold circuitry.
64
 -- RESERVED_OUTPUT_DRIVEN_HIGH        : Pin is output driven high.
65
 ---------------------------------------------------------------------------------
66
 
67
 
68
 
69
 ---------------------------------------------------------------------------------
70
 -- Pin directions (input, output or bidir) are based on device operating in user mode.
71
 ---------------------------------------------------------------------------------
72
 
73
Quartus II Version 7.2 Build 207 03/18/2008 Service Pack 3 SJ Full Version
74
CHIP  "LB"  ASSIGNED TO AN: EP2S15F484C3
75
 
76
Pin Name/Usage               : Location  : Dir.   : I/O Standard      : Voltage : I/O Bank  : User Assignment
77
-------------------------------------------------------------------------------------------------------------
78
GND                          : A1        : gnd    :                   :         :           :
79
TEMPDIODEp                   : A2        :        :                   :         :           :
80
VCCIO4                       : A3        : power  :                   : 3.3V    : 4         :
81
MSEL3                        : A4        :        :                   :         : 4         :
82
out_port[1]                  : A5        : output : 3.3-V LVTTL       :         : 4         : N
83
in_data[23]                  : A6        : input  : 3.3-V LVTTL       :         : 4         : N
84
in_data[1]                   : A7        : input  : 3.3-V LVTTL       :         : 4         : N
85
in_data[15]                  : A8        : input  : 3.3-V LVTTL       :         : 4         : N
86
GND                          : A9        : gnd    :                   :         :           :
87
in_data[33]                  : A10       : input  : 3.3-V LVTTL       :         : 9         : N
88
VCCIO4                       : A11       : power  :                   : 3.3V    : 4         :
89
VCCIO3                       : A12       : power  :                   : 3.3V    : 3         :
90
in_data[55]                  : A13       : input  : 3.3-V LVTTL       :         : 3         : N
91
GND                          : A14       : gnd    :                   :         :           :
92
in_data[50]                  : A15       : input  : 3.3-V LVTTL       :         : 3         : N
93
GND*                         : A16       :        :                   :         : 3         :
94
GND*                         : A17       :        :                   :         : 3         :
95
GND*                         : A18       :        :                   :         : 3         :
96
GND*                         : A19       :        :                   :         : 3         :
97
VCCIO3                       : A20       : power  :                   : 3.3V    : 3         :
98
nCE                          : A21       :        :                   :         : 3         :
99
GND                          : A22       : gnd    :                   :         :           :
100
VCCIO6                       : AA1       : power  :                   : 3.3V    : 6         :
101
GND                          : AA2       : gnd    :                   :         :           :
102
nCEO                         : AA3       :        :                   :         : 7         :
103
GND*                         : AA4       :        :                   :         : 7         :
104
in_ctrl[7]                   : AA5       : input  : 3.3-V LVTTL       :         : 7         : N
105
out_port[6]                  : AA6       : output : 3.3-V LVTTL       :         : 7         : N
106
in_data[22]                  : AA7       : input  : 3.3-V LVTTL       :         : 7         : N
107
in_data[4]                   : AA8       : input  : 3.3-V LVTTL       :         : 7         : N
108
out_mac[31]                  : AA9       : output : 3.3-V LVTTL       :         : 10        : N
109
out_mac[34]                  : AA10      : output : 3.3-V LVTTL       :         : 10        : N
110
out_mac[19]                  : AA11      : output : 3.3-V LVTTL       :         : 7         : N
111
out_mac[11]                  : AA12      : output : 3.3-V LVTTL       :         : 8         : N
112
out_mac[45]                  : AA13      : output : 3.3-V LVTTL       :         : 8         : N
113
VREFB8                       : AA14      : power  :                   :         : 8         :
114
out_mac[15]                  : AA15      : output : 3.3-V LVTTL       :         : 8         : N
115
GND*                         : AA16      :        :                   :         : 8         :
116
GND*                         : AA17      :        :                   :         : 8         :
117
GND*                         : AA18      :        :                   :         : 8         :
118
TCK                          : AA19      : input  :                   :         : 8         :
119
TMS                          : AA20      : input  :                   :         : 8         :
120
GND                          : AA21      : gnd    :                   :         :           :
121
VCCIO1                       : AA22      : power  :                   : 3.3V    : 1         :
122
GND                          : AB1       : gnd    :                   :         :           :
123
nIO_PULLUP                   : AB2       :        :                   :         : 7         :
124
VCCIO7                       : AB3       : power  :                   : 3.3V    : 7         :
125
GND                          : AB4       : gnd    :                   :         :           :
126
out_mac[1]                   : AB5       : output : 3.3-V LVTTL       :         : 7         : N
127
in_ctrl[0]                   : AB6       : input  : 3.3-V LVTTL       :         : 7         : N
128
out_port[7]                  : AB7       : output : 3.3-V LVTTL       :         : 7         : N
129
out_mac[36]                  : AB8       : output : 3.3-V LVTTL       :         : 7         : N
130
GND                          : AB9       : gnd    :                   :         :           :
131
out_mac[26]                  : AB10      : output : 3.3-V LVTTL       :         : 10        : N
132
VCCIO7                       : AB11      : power  :                   : 3.3V    : 7         :
133
VCCIO8                       : AB12      : power  :                   : 3.3V    : 8         :
134
out_mac[17]                  : AB13      : output : 3.3-V LVTTL       :         : 8         : N
135
GND                          : AB14      : gnd    :                   :         :           :
136
out_mac[12]                  : AB15      : output : 3.3-V LVTTL       :         : 8         : N
137
GND*                         : AB16      :        :                   :         : 8         :
138
GND*                         : AB17      :        :                   :         : 8         :
139
GND*                         : AB18      :        :                   :         : 8         :
140
TRST                         : AB19      : input  :                   :         : 8         :
141
VCCIO8                       : AB20      : power  :                   : 3.3V    : 8         :
142
TDI                          : AB21      : input  :                   :         : 8         :
143
GND                          : AB22      : gnd    :                   :         :           :
144
VCCIO5                       : B1        : power  :                   : 3.3V    : 5         :
145
GND                          : B2        : gnd    :                   :         :           :
146
TDO                          : B3        : output :                   :         : 4         :
147
MSEL2                        : B4        :        :                   :         : 4         :
148
out_mac[3]                   : B5        : output : 3.3-V LVTTL       :         : 4         : N
149
GND*                         : B6        :        :                   :         : 4         :
150
in_rd                        : B7        : input  : 3.3-V LVTTL       :         : 4         : N
151
in_data[12]                  : B8        : input  : 3.3-V LVTTL       :         : 4         : N
152
in_data[0]                   : B9        : input  : 3.3-V LVTTL       :         : 9         : N
153
GND*                         : B10       :        :                   :         : 9         :
154
in_data[32]                  : B11       : input  : 3.3-V LVTTL       :         : 4         : N
155
in_data[43]                  : B12       : input  : 3.3-V LVTTL       :         : 4         : N
156
in_data[61]                  : B13       : input  : 3.3-V LVTTL       :         : 3         : N
157
VREFB3                       : B14       : power  :                   :         : 3         :
158
in_data[45]                  : B15       : input  : 3.3-V LVTTL       :         : 3         : N
159
GND*                         : B16       :        :                   :         : 3         :
160
GND*                         : B17       :        :                   :         : 3         :
161
GND*                         : B18       :        :                   :         : 3         :
162
GND*                         : B19       :        :                   :         : 3         :
163
nSTATUS                      : B20       :        :                   :         : 3         :
164
GND                          : B21       : gnd    :                   :         :           :
165
VCCIO2                       : B22       : power  :                   : 3.3V    : 2         :
166
GND*                         : C1        :        :                   :         : 5         :
167
GND*                         : C2        :        :                   :         : 5         :
168
TEMPDIODEn                   : C3        :        :                   :         :           :
169
GND*                         : C4        :        :                   :         : 4         :
170
in_key[1]                    : C5        : input  : 3.3-V LVTTL       :         : 4         : N
171
GND*                         : C6        :        :                   :         : 4         :
172
in_data[5]                   : C7        : input  : 3.3-V LVTTL       :         : 4         : N
173
in_data[2]                   : C8        : input  : 3.3-V LVTTL       :         : 4         : N
174
in_data[13]                  : C9        : input  : 3.3-V LVTTL       :         : 9         : N
175
in_data[41]                  : C10       : input  : 3.3-V LVTTL       :         : 9         : N
176
in_data[42]                  : C11       : input  : 3.3-V LVTTL       :         : 4         : N
177
in_data[37]                  : C12       : input  : 3.3-V LVTTL       :         : 4         : N
178
out_mac[44]                  : C13       : output : 3.3-V LVTTL       :         : 3         : N
179
GND*                         : C14       :        :                   :         : 3         :
180
in_data[48]                  : C15       : input  : 3.3-V LVTTL       :         : 3         : N
181
in_data[51]                  : C16       : input  : 3.3-V LVTTL       :         : 3         : N
182
GND*                         : C17       :        :                   :         : 3         :
183
GND*                         : C18       :        :                   :         : 3         :
184
GND*                         : C19       :        :                   :         : 3         :
185
CONF_DONE                    : C20       :        :                   :         : 3         :
186
GND*                         : C21       :        :                   :         : 2         :
187
GND*                         : C22       :        :                   :         : 2         :
188
GND*                         : D1        :        :                   :         : 5         :
189
GND*                         : D2        :        :                   :         : 5         :
190
GND*                         : D3        :        :                   :         : 4         :
191
MSEL1                        : D4        :        :                   :         : 4         :
192
GND*                         : D5        :        :                   :         : 4         :
193
GND*                         : D6        :        :                   :         : 4         :
194
VREFB4                       : D7        : power  :                   :         : 4         :
195
GND*                         : D8        :        :                   :         : 4         :
196
VREFB4                       : D9        : power  :                   :         : 4         :
197
in_data[40]                  : D10       : input  : 3.3-V LVTTL       :         : 9         : N
198
in_data[49]                  : D11       : input  : 3.3-V LVTTL       :         : 3         : N
199
GND*                         : D12       :        :                   :         : 3         :
200
in_data[8]                   : D13       : input  : 3.3-V LVTTL       :         : 3         : N
201
GND*                         : D14       :        :                   :         : 3         :
202
GND*                         : D15       :        :                   :         : 3         :
203
VREFB3                       : D16       : power  :                   :         : 3         :
204
GND*                         : D17       :        :                   :         : 3         :
205
in_key[0]                    : D18       : input  : 3.3-V LVTTL       :         : 3         : N
206
DCLK                         : D19       :        :                   :         : 3         :
207
in_key[5]                    : D20       : input  : 3.3-V LVTTL       :         : 3         : N
208
GND*                         : D21       :        :                   :         : 2         :
209
GND*                         : D22       :        :                   :         : 2         :
210
GND*                         : E1        :        :                   :         : 5         :
211
GND*                         : E2        :        :                   :         : 5         :
212
GND*                         : E3        :        :                   :         : 5         :
213
GND*                         : E4        :        :                   :         : 5         :
214
MSEL0                        : E5        :        :                   :         : 4         :
215
GND*                         : E6        :        :                   :         : 4         :
216
GND*                         : E7        :        :                   :         : 4         :
217
GND*                         : E8        :        :                   :         : 4         :
218
GND*                         : E9        :        :                   :         : 4         :
219
GND*                         : E10       :        :                   :         : 4         :
220
out_mac[8]                   : E11       : output : 3.3-V LVTTL       :         : 3         : N
221
in_data[52]                  : E12       : input  : 3.3-V LVTTL       :         : 3         : N
222
~DATA0~ / RESERVED_INPUT     : E13       : input  : 3.3-V LVTTL       :         : 3         : N
223
GND*                         : E14       :        :                   :         : 3         :
224
GND*                         : E15       :        :                   :         : 3         :
225
in_key[3]                    : E16       : input  : 3.3-V LVTTL       :         : 3         : N
226
GND*                         : E17       :        :                   :         : 3         :
227
GND*                         : E18       :        :                   :         : 3         :
228
GND*                         : E19       :        :                   :         : 2         :
229
GND*                         : E20       :        :                   :         : 2         :
230
GND*                         : E21       :        :                   :         : 2         :
231
GND*                         : E22       :        :                   :         : 2         :
232
in_key[6]                    : F1        : input  : 3.3-V LVTTL       :         : 5         : N
233
GND*                         : F2        :        :                   :         : 5         :
234
VREFB5                       : F3        : power  :                   :         : 5         :
235
GND*                         : F4        :        :                   :         : 5         :
236
GND*                         : F5        :        :                   :         : 5         :
237
in_key[4]                    : F6        : input  : 3.3-V LVTTL       :         : 4         : N
238
GND*                         : F7        :        :                   :         : 4         :
239
GND*                         : F8        :        :                   :         : 4         :
240
GND*                         : F9        :        :                   :         : 4         :
241
GNDA_PLL5                    : F10       : gnd    :                   :         :           :
242
GNDA_PLL5                    : F11       : gnd    :                   :         :           :
243
VCCA_PLL5                    : F12       : power  :                   : 1.2V    :           :
244
GND*                         : F13       :        :                   :         : 3         :
245
GND*                         : F14       :        :                   :         : 3         :
246
GND*                         : F15       :        :                   :         : 3         :
247
GND*                         : F16       :        :                   :         : 3         :
248
GND*                         : F17       :        :                   :         : 3         :
249
VREFB2                       : F18       : power  :                   :         : 2         :
250
GND*                         : F19       :        :                   :         : 2         :
251
GND*                         : F20       :        :                   :         : 2         :
252
GND*                         : F21       :        :                   :         : 2         :
253
GND*                         : F22       :        :                   :         : 2         :
254
GND*                         : G1        :        :                   :         : 5         :
255
GND*                         : G2        :        :                   :         : 5         :
256
GND*                         : G3        :        :                   :         : 5         :
257
GND*                         : G4        :        :                   :         : 5         :
258
GND*                         : G5        :        :                   :         : 5         :
259
GND*                         : G6        :        :                   :         : 5         :
260
GND*                         : G7        :        :                   :         : 4         :
261
GND*                         : G8        :        :                   :         : 4         :
262
GND*                         : G9        :        :                   :         : 4         :
263
VCC_PLL5_OUT                 : G10       : power  :                   : 3.3V    : 9         :
264
VCCD_PLL5                    : G11       : power  :                   : 1.2V    :           :
265
in_data[58]                  : G12       : input  : 3.3-V LVTTL       :         : 3         : N
266
GND*                         : G13       :        :                   :         : 3         :
267
GND*                         : G14       :        :                   :         : 3         :
268
GND*                         : G15       :        :                   :         : 3         :
269
GND*                         : G16       :        :                   :         : 3         :
270
GND*                         : G17       :        :                   :         : 2         :
271
GND*                         : G18       :        :                   :         : 2         :
272
GND*                         : G19       :        :                   :         : 2         :
273
GND*                         : G20       :        :                   :         : 2         :
274
GND*                         : G21       :        :                   :         : 2         :
275
GND*                         : G22       :        :                   :         : 2         :
276
GND*                         : H1        :        :                   :         : 5         :
277
GND*                         : H2        :        :                   :         : 5         :
278
GND*                         : H3        :        :                   :         : 5         :
279
GND*                         : H4        :        :                   :         : 5         :
280
GND*                         : H5        :        :                   :         : 5         :
281
GND*                         : H6        :        :                   :         : 5         :
282
GND*                         : H7        :        :                   :         : 4         :
283
VCCINT                       : H8        : power  :                   : 1.2V    :           :
284
GND*                         : H9        :        :                   :         : 4         :
285
VCCPD4                       : H10       : power  :                   : 3.3V    : 4         :
286
in_data[59]                  : H11       : input  : 3.3-V LVTTL       :         : 3         : N
287
in_data[47]                  : H12       : input  : 3.3-V LVTTL       :         : 3         : N
288
VCCPD3                       : H13       : power  :                   : 3.3V    : 3         :
289
GND*                         : H14       :        :                   :         : 3         :
290
GND                          : H15       : gnd    :                   :         :           :
291
GND*                         : H16       :        :                   :         : 3         :
292
GND*                         : H17       :        :                   :         : 2         :
293
GND*                         : H18       :        :                   :         : 2         :
294
in_rdy                       : H19       : output : 3.3-V LVTTL       :         : 2         : N
295
GND*                         : H20       :        :                   :         : 2         :
296
GND*                         : H21       :        :                   :         : 2         :
297
GND*                         : H22       :        :                   :         : 2         :
298
GND                          : J1        : gnd    :                   :         :           :
299
GND*                         : J2        :        :                   :         : 5         :
300
in_data[34]                  : J3        : input  : 3.3-V LVTTL       :         : 5         : N
301
VREFB5                       : J4        : power  :                   :         : 5         :
302
GND*                         : J5        :        :                   :         : 5         :
303
GND*                         : J6        :        :                   :         : 5         :
304
GND*                         : J7        :        :                   :         : 5         :
305
GND*                         : J8        :        :                   :         : 5         :
306
VCCINT                       : J9        : power  :                   : 1.2V    :           :
307
GND                          : J10       : gnd    :                   :         :           :
308
VCCINT                       : J11       : power  :                   : 1.2V    :           :
309
GND                          : J12       : gnd    :                   :         :           :
310
VCCINT                       : J13       : power  :                   : 1.2V    :           :
311
GND                          : J14       : gnd    :                   :         :           :
312
GND*                         : J15       :        :                   :         : 3         :
313
GND*                         : J16       :        :                   :         : 2         :
314
GND*                         : J17       :        :                   :         : 2         :
315
GND*                         : J18       :        :                   :         : 2         :
316
GND*                         : J19       :        :                   :         : 2         :
317
GND*                         : J20       :        :                   :         : 2         :
318
GND*                         : J21       :        :                   :         : 2         :
319
GND                          : J22       : gnd    :                   :         :           :
320
out_mac[25]                  : K1        : output : 3.3-V LVTTL       :         : 5         : N
321
in_data[60]                  : K2        : input  : 3.3-V LVTTL       :         : 5         : N
322
in_data[62]                  : K3        : input  : 3.3-V LVTTL       :         : 5         : N
323
in_data[25]                  : K4        : input  : 3.3-V LVTTL       :         : 5         : N
324
GND*                         : K5        :        :                   :         : 5         :
325
GND*                         : K6        :        :                   :         : 5         :
326
out_mac[33]                  : K7        : output : 3.3-V LVTTL       :         : 5         : N
327
in_data[10]                  : K8        : input  : 3.3-V LVTTL       :         : 5         : N
328
GND                          : K9        : gnd    :                   :         :           :
329
VCCINT                       : K10       : power  :                   : 1.2V    :           :
330
GND                          : K11       : gnd    :                   :         :           :
331
VCCINT                       : K12       : power  :                   : 1.2V    :           :
332
GND                          : K13       : gnd    :                   :         :           :
333
VCCPD2                       : K14       : power  :                   : 3.3V    : 2         :
334
in_data[63]                  : K15       : input  : 3.3-V LVTTL       :         : 2         : N
335
GND*                         : K16       :        :                   :         : 2         :
336
GND*                         : K17       :        :                   :         : 2         :
337
GND*                         : K18       :        :                   :         : 2         :
338
in_data[31]                  : K19       : input  : 3.3-V LVTTL       :         : 2         : N
339
in_data[30]                  : K20       : input  : 3.3-V LVTTL       :         : 2         : N
340
in_data[9]                   : K21       : input  : 3.3-V LVTTL       :         : 2         : N
341
in_data[56]                  : K22       : input  : 3.3-V LVTTL       :         : 2         : N
342
VCCIO5                       : L1        : power  :                   : 3.3V    : 5         :
343
out_mac[2]                   : L2        : output : 3.3-V LVTTL       :         : 5         : N
344
in_data[35]                  : L3        : input  : 3.3-V LVTTL       :         : 5         : N
345
GNDA_PLL4                    : L4        : gnd    :                   :         :           :
346
GNDA_PLL4                    : L5        : gnd    :                   :         :           :
347
VCCD_PLL4                    : L6        : power  :                   : 1.2V    :           :
348
in_data[57]                  : L7        : input  : 3.3-V LVTTL       :         : 5         : N
349
in_data[14]                  : L8        : input  : 3.3-V LVTTL       :         : 5         : N
350
VCCPD5                       : L9        : power  :                   : 3.3V    : 5         :
351
GND                          : L10       : gnd    :                   :         :           :
352
VCCINT                       : L11       : power  :                   : 1.2V    :           :
353
GND                          : L12       : gnd    :                   :         :           :
354
VCCINT                       : L13       : power  :                   : 1.2V    :           :
355
GND                          : L14       : gnd    :                   :         :           :
356
in_data[11]                  : L15       : input  : 3.3-V LVTTL       :         : 2         : N
357
in_data[54]                  : L16       : input  : 3.3-V LVTTL       :         : 2         : N
358
GNDA_PLL1                    : L17       : gnd    :                   :         :           :
359
GNDA_PLL1                    : L18       : gnd    :                   :         :           :
360
VREFB2                       : L19       : power  :                   :         : 2         :
361
in_data[44]                  : L20       : input  : 3.3-V LVTTL       :         : 2         : N
362
in_data[36]                  : L21       : input  : 3.3-V LVTTL       :         : 2         : N
363
VCCIO2                       : L22       : power  :                   : 3.3V    : 2         :
364
VCCIO6                       : M1        : power  :                   : 3.3V    : 6         :
365
in_data[38]                  : M2        : input  : 3.3-V LVTTL       :         : 5         : N
366
in_data[39]                  : M3        : input  : 3.3-V LVTTL       :         : 5         : N
367
VCCA_PLL3                    : M4        : power  :                   : 1.2V    :           :
368
VCCD_PLL3                    : M5        : power  :                   : 1.2V    :           :
369
VCCA_PLL4                    : M6        : power  :                   : 1.2V    :           :
370
GND                          : M7        : gnd    :                   :         :           :
371
VCCINT                       : M8        : power  :                   : 1.2V    :           :
372
GND                          : M9        : gnd    :                   :         :           :
373
VCCINT                       : M10       : power  :                   : 1.2V    :           :
374
GND                          : M11       : gnd    :                   :         :           :
375
VCCINT                       : M12       : power  :                   : 1.2V    :           :
376
GND                          : M13       : gnd    :                   :         :           :
377
VCCINT                       : M14       : power  :                   : 1.2V    :           :
378
GND                          : M15       : gnd    :                   :         :           :
379
VCCD_PLL1                    : M16       : power  :                   : 1.2V    :           :
380
VCCA_PLL1                    : M17       : power  :                   : 1.2V    :           :
381
VCCD_PLL2                    : M18       : power  :                   : 1.2V    :           :
382
VCCA_PLL2                    : M19       : power  :                   : 1.2V    :           :
383
in_data[46]                  : M20       : input  : 3.3-V LVTTL       :         : 2         : N
384
reset                        : M21       : input  : 3.3-V LVTTL       :         : 2         : N
385
VCCIO1                       : M22       : power  :                   : 3.3V    : 1         :
386
in_data[16]                  : N1        : input  : 3.3-V LVTTL       :         : 6         : N
387
in_data[27]                  : N2        : input  : 3.3-V LVTTL       :         : 6         : N
388
in_data[19]                  : N3        : input  : 3.3-V LVTTL       :         : 6         : N
389
in_data[26]                  : N4        : input  : 3.3-V LVTTL       :         : 6         : N
390
GNDA_PLL3                    : N5        : gnd    :                   :         :           :
391
GNDA_PLL3                    : N6        : gnd    :                   :         :           :
392
out_mac[35]                  : N7        : output : 3.3-V LVTTL       :         : 6         : N
393
in_data[3]                   : N8        : input  : 3.3-V LVTTL       :         : 6         : N
394
VCCPD6                       : N9        : power  :                   : 3.3V    : 6         :
395
GND                          : N10       : gnd    :                   :         :           :
396
VCCINT                       : N11       : power  :                   : 1.2V    :           :
397
GND                          : N12       : gnd    :                   :         :           :
398
VCCINT                       : N13       : power  :                   : 1.2V    :           :
399
GND                          : N14       : gnd    :                   :         :           :
400
out_mac[43]                  : N15       : output : 3.3-V LVTTL       :         : 1         : N
401
out_mac[42]                  : N16       : output : 3.3-V LVTTL       :         : 1         : N
402
GNDA_PLL2                    : N17       : gnd    :                   :         :           :
403
GNDA_PLL2                    : N18       : gnd    :                   :         :           :
404
in_data[29]                  : N19       : input  : 3.3-V LVTTL       :         : 1         : N
405
clk                          : N20       : input  : 3.3-V LVTTL       :         : 1         : N
406
out_mac[16]                  : N21       : output : 3.3-V LVTTL       :         : 1         : N
407
in_data[7]                   : N22       : input  : 3.3-V LVTTL       :         : 1         : N
408
GND                          : P1        : gnd    :                   :         :           :
409
out_mac[29]                  : P2        : output : 3.3-V LVTTL       :         : 6         : N
410
in_data[20]                  : P3        : input  : 3.3-V LVTTL       :         : 6         : N
411
VREFB6                       : P4        : power  :                   :         : 6         :
412
out_port[3]                  : P5        : output : 3.3-V LVTTL       :         : 6         : N
413
in_data[28]                  : P6        : input  : 3.3-V LVTTL       :         : 6         : N
414
out_mac[27]                  : P7        : output : 3.3-V LVTTL       :         : 6         : N
415
out_mac[24]                  : P8        : output : 3.3-V LVTTL       :         : 6         : N
416
VCCINT                       : P9        : power  :                   : 1.2V    :           :
417
VCCPD7                       : P10       : power  :                   : 3.3V    : 7         :
418
GND                          : P11       : gnd    :                   :         :           :
419
VCCINT                       : P12       : power  :                   : 1.2V    :           :
420
GND                          : P13       : gnd    :                   :         :           :
421
VCCINT                       : P14       : power  :                   : 1.2V    :           :
422
VCCPD1                       : P15       : power  :                   : 3.3V    : 1         :
423
out_mac[41]                  : P16       : output : 3.3-V LVTTL       :         : 1         : N
424
out_mac[10]                  : P17       : output : 3.3-V LVTTL       :         : 1         : N
425
out_mac[14]                  : P18       : output : 3.3-V LVTTL       :         : 1         : N
426
out_mac[21]                  : P19       : output : 3.3-V LVTTL       :         : 1         : N
427
out_mac[46]                  : P20       : output : 3.3-V LVTTL       :         : 1         : N
428
out_mac[38]                  : P21       : output : 3.3-V LVTTL       :         : 1         : N
429
GND                          : P22       : gnd    :                   :         :           :
430
out_port[4]                  : R1        : output : 3.3-V LVTTL       :         : 6         : N
431
out_mac[4]                   : R2        : output : 3.3-V LVTTL       :         : 6         : N
432
in_data[21]                  : R3        : input  : 3.3-V LVTTL       :         : 6         : N
433
in_data[17]                  : R4        : input  : 3.3-V LVTTL       :         : 6         : N
434
GND*                         : R5        :        :                   :         : 6         :
435
out_mac[40]                  : R6        : output : 3.3-V LVTTL       :         : 6         : N
436
in_ctrl[3]                   : R7        : input  : 3.3-V LVTTL       :         : 6         : N
437
GND*                         : R8        :        :                   :         : 6         :
438
GND*                         : R9        :        :                   :         : 7         :
439
GND                          : R10       : gnd    :                   :         :           :
440
VCC_PLL6_OUT                 : R11       : power  :                   : 3.3V    : 10        :
441
VCCA_PLL6                    : R12       : power  :                   : 1.2V    :           :
442
VCCPD8                       : R13       : power  :                   : 3.3V    : 8         :
443
GND*                         : R14       :        :                   :         : 8         :
444
GND*                         : R15       :        :                   :         : 8         :
445
GND*                         : R16       :        :                   :         : 1         :
446
GND*                         : R17       :        :                   :         : 1         :
447
GND*                         : R18       :        :                   :         : 1         :
448
GND*                         : R19       :        :                   :         : 1         :
449
VREFB1                       : R20       : power  :                   :         : 1         :
450
out_mac[37]                  : R21       : output : 3.3-V LVTTL       :         : 1         : N
451
out_mac[5]                   : R22       : output : 3.3-V LVTTL       :         : 1         : N
452
in_data[24]                  : T1        : input  : 3.3-V LVTTL       :         : 6         : N
453
out_mac[30]                  : T2        : output : 3.3-V LVTTL       :         : 6         : N
454
GND*                         : T3        :        :                   :         : 6         :
455
GND*                         : T4        :        :                   :         : 6         :
456
GND*                         : T5        :        :                   :         : 6         :
457
GND*                         : T6        :        :                   :         : 6         :
458
GND*                         : T7        :        :                   :         : 7         :
459
GND*                         : T8        :        :                   :         : 7         :
460
GND*                         : T9        :        :                   :         : 7         :
461
in_ctrl[4]                   : T10       : input  : 3.3-V LVTTL       :         : 7         : N
462
GNDA_PLL6                    : T11       : gnd    :                   :         :           :
463
GNDA_PLL6                    : T12       : gnd    :                   :         :           :
464
GND*                         : T13       :        :                   :         : 8         :
465
GND*                         : T14       :        :                   :         : 8         :
466
GND*                         : T15       :        :                   :         : 8         :
467
GND*                         : T16       :        :                   :         : 8         :
468
GND*                         : T17       :        :                   :         : 1         :
469
GND*                         : T18       :        :                   :         : 1         :
470
GND*                         : T19       :        :                   :         : 1         :
471
GND*                         : T20       :        :                   :         : 1         :
472
GND*                         : T21       :        :                   :         : 1         :
473
GND*                         : T22       :        :                   :         : 1         :
474
GND*                         : U1        :        :                   :         : 6         :
475
out_port[5]                  : U2        : output : 3.3-V LVTTL       :         : 6         : N
476
VREFB6                       : U3        : power  :                   :         : 6         :
477
GND*                         : U4        :        :                   :         : 6         :
478
GND*                         : U5        :        :                   :         : 6         :
479
out_rdy                      : U6        : input  : 3.3-V LVTTL       :         : 7         : N
480
GND*                         : U7        :        :                   :         : 7         :
481
GND*                         : U8        :        :                   :         : 7         :
482
GND*                         : U9        :        :                   :         : 7         :
483
out_port[2]                  : U10       : output : 3.3-V LVTTL       :         : 7         : N
484
VCCD_PLL6                    : U11       : power  :                   : 1.2V    :           :
485
in_data[53]                  : U12       : input  : 3.3-V LVTTL       :         : 8         : N
486
GND*                         : U13       :        :                   :         : 8         :
487
GND*                         : U14       :        :                   :         : 8         :
488
GND*                         : U15       :        :                   :         : 8         :
489
GND*                         : U16       :        :                   :         : 8         :
490
GND*                         : U17       :        :                   :         : 1         :
491
GND*                         : U18       :        :                   :         : 1         :
492
in_key[8]                    : U19       : input  : 3.3-V LVTTL       :         : 1         : N
493
GND*                         : U20       :        :                   :         : 1         :
494
GND*                         : U21       :        :                   :         : 1         :
495
GND*                         : U22       :        :                   :         : 1         :
496
in_ctrl[5]                   : V1        : input  : 3.3-V LVTTL       :         : 6         : N
497
in_ctrl[1]                   : V2        : input  : 3.3-V LVTTL       :         : 6         : N
498
GND*                         : V3        :        :                   :         : 6         :
499
GND*                         : V4        :        :                   :         : 6         :
500
PORSEL                       : V5        :        :                   :         : 7         :
501
GND*                         : V6        :        :                   :         : 7         :
502
GND*                         : V7        :        :                   :         : 7         :
503
GND*                         : V8        :        :                   :         : 7         :
504
out_mac[22]                  : V9        : output : 3.3-V LVTTL       :         : 10        : N
505
out_port[0]                  : V10       : output : 3.3-V LVTTL       :         : 7         : N
506
out_mac[18]                  : V11       : output : 3.3-V LVTTL       :         : 8         : N
507
out_mac[7]                   : V12       : output : 3.3-V LVTTL       :         : 8         : N
508
GND*                         : V13       :        :                   :         : 8         :
509
GND*                         : V14       :        :                   :         : 8         :
510
GND*                         : V15       :        :                   :         : 8         :
511
in_key[7]                    : V16       : input  : 3.3-V LVTTL       :         : 8         : N
512
VCCSEL                       : V17       :        :                   :         : 8         :
513
GND*                         : V18       :        :                   :         : 1         :
514
in_key[9]                    : V19       : input  : 3.3-V LVTTL       :         : 1         : N
515
VREFB1                       : V20       : power  :                   :         : 1         :
516
GND*                         : V21       :        :                   :         : 1         :
517
GND*                         : V22       :        :                   :         : 1         :
518
GND*                         : W1        :        :                   :         : 6         :
519
GND*                         : W2        :        :                   :         : 6         :
520
GND*                         : W3        :        :                   :         : 6         :
521
GND*                         : W4        :        :                   :         : 6         :
522
GND*                         : W5        :        :                   :         : 7         :
523
VREFB7                       : W6        : power  :                   :         : 7         :
524
GND*                         : W7        :        :                   :         : 7         :
525
VREFB7                       : W8        : power  :                   :         : 7         :
526
in_data[18]                  : W9        : input  : 3.3-V LVTTL       :         : 10        : N
527
out_mac[32]                  : W10       : output : 3.3-V LVTTL       :         : 7         : N
528
out_mac[20]                  : W11       : output : 3.3-V LVTTL       :         : 8         : N
529
out_mac[6]                   : W12       : output : 3.3-V LVTTL       :         : 8         : N
530
out_mac[39]                  : W13       : output : 3.3-V LVTTL       :         : 8         : N
531
GND*                         : W14       :        :                   :         : 8         :
532
GND*                         : W15       :        :                   :         : 8         :
533
in_key[2]                    : W16       : input  : 3.3-V LVTTL       :         : 8         : N
534
GND*                         : W17       :        :                   :         : 8         :
535
nCONFIG                      : W18       :        :                   :         : 8         :
536
GND*                         : W19       :        :                   :         : 1         :
537
GND*                         : W20       :        :                   :         : 1         :
538
GND*                         : W21       :        :                   :         : 1         :
539
GND*                         : W22       :        :                   :         : 1         :
540
GND*                         : Y1        :        :                   :         : 6         :
541
GND*                         : Y2        :        :                   :         : 6         :
542
GND*                         : Y3        :        :                   :         : 7         :
543
PLL_ENA                      : Y4        :        :                   :         : 7         :
544
out_mac[0]                   : Y5        : output : 3.3-V LVTTL       :         : 7         : N
545
in_ctrl[2]                   : Y6        : input  : 3.3-V LVTTL       :         : 7         : N
546
in_wr                        : Y7        : input  : 3.3-V LVTTL       :         : 7         : N
547
in_ctrl[6]                   : Y8        : input  : 3.3-V LVTTL       :         : 7         : N
548
in_data[6]                   : Y9        : input  : 3.3-V LVTTL       :         : 10        : N
549
out_mac[28]                  : Y10       : output : 3.3-V LVTTL       :         : 7         : N
550
out_mac[9]                   : Y11       : output : 3.3-V LVTTL       :         : 7         : N
551
out_mac[47]                  : Y12       : output : 3.3-V LVTTL       :         : 8         : N
552
out_mac[23]                  : Y13       : output : 3.3-V LVTTL       :         : 8         : N
553
GND*                         : Y14       :        :                   :         : 8         :
554
out_mac[13]                  : Y15       : output : 3.3-V LVTTL       :         : 8         : N
555
out_rd_rdy                   : Y16       : output : 3.3-V LVTTL       :         : 8         : N
556
GND*                         : Y17       :        :                   :         : 8         :
557
GND*                         : Y18       :        :                   :         : 8         :
558
VREFB8                       : Y19       : power  :                   :         : 8         :
559
GND*                         : Y20       :        :                   :         : 8         :
560
GND*                         : Y21       :        :                   :         : 1         :
561
GND*                         : Y22       :        :                   :         : 1         :

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.