OpenCores
URL https://opencores.org/ocsvn/loadbalancer/loadbalancer/trunk

Subversion Repositories loadbalancer

[/] [loadbalancer/] [trunk/] [LB.tan.summary] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 atalla
--------------------------------------------------------------------------------------
2
Timing Analyzer Summary
3
--------------------------------------------------------------------------------------
4
 
5
Type           : Worst-case tsu
6
Slack          : N/A
7
Required Time  : None
8
Actual Time    : 6.345 ns
9
From           : reset
10
To             : manager:inst|table:table_Inst|mac_ram_table:ram_Inst|ram_256x48:ram_256x48_search_Inst|altsyncram:ram_rtl_2|altsyncram_b3j1:auto_generated|ram_block1a18~portb_address_reg9
11
From Clock     : --
12
To Clock       : clk
13
Failed Paths   : 0
14
 
15
Type           : Worst-case tco
16
Slack          : N/A
17
Required Time  : None
18
Actual Time    : 6.579 ns
19
From           : manager:inst|table:table_Inst|mac_ram_table:ram_Inst|ram_256x48:ram_256x48_Inst|q[16]
20
To             : out_mac[8]
21
From Clock     : clk
22
To Clock       : --
23
Failed Paths   : 0
24
 
25
Type           : Worst-case th
26
Slack          : N/A
27
Required Time  : None
28
Actual Time    : -2.272 ns
29
From           : in_data[14]
30
To             : manager:inst|mac_weight[6]
31
From Clock     : --
32
To Clock       : clk
33
Failed Paths   : 0
34
 
35
Type           : Clock Setup: 'clk'
36
Slack          : 2.784 ns
37
Required Time  : 125.00 MHz ( period = 8.000 ns )
38
Actual Time    : 191.72 MHz ( period = 5.216 ns )
39
From           : manager:inst|table:table_Inst|mac_ram_table:ram_Inst|ram_256x48:Aging_Valid_256x48_Inst|altsyncram:ram_rtl_3|altsyncram_pvi1:auto_generated|ram_block1a0~portb_address_reg9
40
To             : manager:inst|table:table_Inst|mac_ram_table:ram_Inst|ram_256x48:Aging_Valid_256x48_Inst|altsyncram:ram_rtl_3|altsyncram_pvi1:auto_generated|ram_block1a0~porta_address_reg6
41
From Clock     : clk
42
To Clock       : clk
43
Failed Paths   : 0
44
 
45
Type           : Clock Hold: 'clk'
46
Slack          : 0.354 ns
47
Required Time  : 125.00 MHz ( period = 8.000 ns )
48
Actual Time    : N/A
49
From           : manager:inst|table:table_Inst|mac_ram_table:ram_Inst|match_address[2]
50
To             : manager:inst|table:table_Inst|mac_ram_table:ram_Inst|ram_256x48:ram_256x48_Inst|ram~77
51
From Clock     : clk
52
To Clock       : clk
53
Failed Paths   : 0
54
 
55
Type           : Total number of failed paths
56
Slack          :
57
Required Time  :
58
Actual Time    :
59
From           :
60
To             :
61
From Clock     :
62
To Clock       :
63
Failed Paths   : 0
64
 
65
--------------------------------------------------------------------------------------
66
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.