OpenCores
URL https://opencores.org/ocsvn/logicprobe/logicprobe/trunk

Subversion Repositories logicprobe

[/] [logicprobe/] [trunk/] [tst/] [sim-v/] [top.cfg] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 hellwig
*-5.000000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
2
@28
3
top.clk
4
top.reset_in_n
5
top.lfsr.reset
6
@22
7
top.lfsr.lfsr0[31:0]
8
top.lfsr.lfsr1[31:0]
9
top.lfsr.lfsr2[31:0]
10
top.lfsr.lfsr3[31:0]
11
@28
12
top.lfsr.trigger
13
top.lfsr.sample
14
top.lfsr.lp.sampler.full
15
@22
16
top.lfsr.lp.rdaddr[12:0]
17
top.lfsr.lp.data[7:0]
18
@28
19
top.lfsr.lp.ready
20
top.lfsr.lp.write

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.