OpenCores
URL https://opencores.org/ocsvn/logicprobe/logicprobe/trunk

Subversion Repositories logicprobe

[/] [logicprobe/] [trunk/] [tst/] [sim-v/] [top.v] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 hellwig
//
2
// top.v -- top-level module to test lfsr128 with LogicProbe
3
//
4
 
5
`include "lfsr128.v"
6
`timescale 1ns/1ns
7
 
8
module top;
9
 
10
  reg clk;
11
  reg reset_in_n;
12
  wire [3:0] s;
13
  wire rs232_txd;
14
 
15
  lfsr128 lfsr(clk, reset_in_n, s, rs232_txd);
16
 
17
  initial begin
18
    #0     $dumpfile("dump.vcd");
19
           $dumpvars(0, top);
20
           clk = 1;
21
           reset_in_n = 0;
22
    #145   reset_in_n = 1;
23
    #20000 $finish;
24
  end
25
 
26
  always begin
27
    #10 clk = ~clk;
28
  end
29
 
30
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.